这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 测试测量 » Modelsim与debussy协同仿真

共1条 1/1 1 跳转至

Modelsim与debussy协同仿真

工程师
2008-05-30 13:34:33     打赏

近日要在单机上利用modelsim跑仿真,对modelsim的图形界面自己一直不喜欢,因为debussy的调试方式确实不错,所以就准备Modelsimdebussy协同仿真。其实就是利用modelsim产生.fsdb文件,在debussy中导入.fsdb文件进行观察波形。下面的方法是我在网络中搜索到的,欢迎大家学习。

先说说说如何产生.fsdb文件:

1、编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl更换为Veriuser = $\novas.dll。如(c:\novas\debussy\share\pli\modelsim_pli54\winnt\novas.dll)注意Veriuser前面的分号要去掉。

2、在testbench中加入

initial

begin

$fsdbDumpfile(“filename_you_want.fsdb”);

$fsdbDumpvars;

end

这样如何还不行的话,就建一个系统环境变量PLIOBJS

PLIOBJS= C:\Novas\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll

这样modelsim仿真下就可以产生.fsdb文件了。

至此modelsim的任务就完成了,关闭modelsim

其实,modelsim就是产生.fsdb文件的作用而已,可以采用命令格式来完成,不用开modelsimGUI界面(自己还没有做过)

接着,打开debussy

File->import design把工程中用到的source codetestbench文件全加进来,

New Waveform后,打开之前产生的.fsdb文件,通过get signals把需要观察的信号添加进来,就可以观察到波形了。




关键词: Modelsim     debussy     协同     仿真     mo    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]