论坛 » Xilinx
版主: 暂无版主      软件智能  硬件优化  ZingBoard开发手记  ZedBoard开发手记  ZYNQ开发板申请  Zynq 
 发表新贴 
 发起投票 
 精华 
 全部 
帖子主题RSS订阅 回复 人气
普通 为什么我的移位寄存器的输出没有延时,和输入时序一样呢?而且复 [似水年华] 2 1768
普通 ISE综合时把某个reg变量的位数给截掉了几位,怎么能让它不 [站的高尿的远] 0 1628
普通 DDR2手册写着最大时钟800M,为啥配置成533.333不 [站的高尿的远] 1 1457
普通 大圣们,这几个节点怎么理解呢 [jianwenchang] 0 1239
普通 【Zynq】 求助FPGA V7 1440T编译工具 [watermelon631] 2 1833
普通 vivado自带的终端的不能打印输出信息 [站的高尿的远] 0 1529
普通 我想在板子上加一个RTC,除了在硬件上对IIC进行了配置,在 [jianwenchang] 0 1458
普通 altium designer中的实点和虚点接点分别代表什么 [站的高尿的远] 0 4982
普通 我现在从SD卡启动出现无法识别内核的格式的错误 [simonmao8385] 0 1651
普通 启动的时候 挂起 怎么解决? [simonmao8385] 0 1505
普通 【Zynq】 ADS突然不能调试了。点击debug的时候,总是停留在这个页 [simonmao8385] 0 2625
普通 【Zynq】 怎么查看自己的zedboard的芯片型号啊? [simonmao8385] 0 1823
普通 【Zynq】 报错问题,求大神帮忙解决 [少静] 0 1345
普通 【Zynq】 为什么会有这样的错呢? [阿飞] 0 1366
普通 【ZedBoard开发手记】 ZYNQ平台OPENCV的移植(1) [CMika] 3 7641
普通 ise加XPS的方式设计遇到的问题 [站的高尿的远] 0 1758
普通 【ZYNQ开发板申请】 开发板申请 [texell] 3 2107
普通 【Zynq】 我在做boot.bin构建VIVADO工程,为什么这里不自动 [jianwenchang] 1 1852
普通 XILINX有没有哪个文档是专门介绍PS与PL进行通讯时的接 [站的高尿的远] 0 1635
精华 Xilinx改变世界的三十年 [wangyu] 6 5525
普通 差分时钟接入FPGA的CC类型时钟管脚,我能把其用DCM处理 [站的高尿的远] 0 1650
普通 【ZedBoard开发手记】 Zedboard I2C功能验证问题求助 [zwj1234] 1 3050
普通 【Zynq】 获奖xilinx鼠标 [ming4129] 17 5138
普通 结构体编译无问题,程序执行到结构体初始化的时候挂起了 [站的高尿的远] 1 1586
普通 【Zynq】 ZedBoard学习手记(六)最后一步?通过上层应用程序调用 [啸风] 2 2104
普通 AD输出的差分时钟接入ISE中的DCM作为全局时钟可行吗 [站的高尿的远] 0 1810
普通 各位,我用zedboard的默认配置来配置7015 ,这样能 [站的高尿的远] 0 1509
普通 【Zynq】 求助 怎样远程更新我的FPGA? [longing05] 11 6767
普通 【Zynq】 求助 通过PCAP接口怎么实现随时配置PL端 [longing05] 5 2963
共1503条 14/52 |‹ « 12 13 14 15 16 17 18 19 20 21 » ›|

帖子总数:9838 主题数:1503 在线用户:0