这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Vivado开发软件下板验证教程

共9条 1/1 1 跳转至

Vivado开发软件下板验证教程

助工
2023-04-14 20:26:01     打赏

大侠好,欢迎来到FPGA技术江湖。本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的“傻瓜式”讲解,让电子、信息、通信类专业学生、初入职场小白及打算进阶提升的职业开发者都可以有系统性学习的机会。


系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。本次带来Vivado系列,使用Vivado开发软件下板验证教程。话不多说,上货。


Vivado 开发软件下板验证教程





在之前的学习当中,我们已经学习了Vivado的基本操作,接下来我们将继续学习软件的下板验证过程。


本次试验我们仍然使用上一节中使用的实验内容,用二输入与门来进行此次下板验证。


我们打开与门工程,先编译一下。





编译成功后,点击open synthesized design打开,然后选择最后一个选项schematic打开原理图。




然后在界面右上角点击打开I/O planning。




打开后,在界面下方打开I/O Ports。





在这个界面,我们需要分配引脚以及电平标准才能下板,此次实验,我们以SANXIN-B04为基础进行下板。管脚约束如下表:






分配好之后,如下图:






分配好之后点击保存,会生成一个XDC文件。然后点击生成bit流。






这个文件是我们下板的文件,生成之后点击open hardware manager打开下板界面,连接开发板。点击自动连接。








然后选中芯片,如图。鼠标右键点击,选择program device,就会有提示框提示要下载的文件以及逻辑分析仪文件。在所选框中会默认选择此工程生成的下板文件,在下一行为逻辑分析仪的下载文件,我们暂时用不到,在此我们先不做过多讲述。确认没有问题之后点击program。






下板成功之后观察开发板现象,我们会看到led0是一直亮的状态。


当我们按下按键,灯会熄灭。按键按下为0,led为1时点亮。那么按照我们与门的逻辑,验证结果正确。


由于开发板种类各有不同,大家可以根据自己使用的开发板去操作下板验证。



持续更新,欢迎各位关注。





关键词: Vivado     开发软件     下板     验证     教程    

专家
2023-04-15 01:32:55     打赏
2楼

感谢楼主的分享,很实用了。


专家
2023-04-15 01:35:26     打赏
3楼

感谢楼主的分享,很实用了。


专家
2023-04-15 07:39:31     打赏
4楼

感谢楼主的分享


高工
2023-04-15 09:08:40     打赏
5楼
Vivado开发软件下板验证教程



高工
2023-04-15 09:09:10     打赏
6楼

感谢楼主的分享


高工
2023-04-15 09:36:03     打赏
7楼

感谢楼主的分享 


专家
2023-04-15 09:39:13     打赏
8楼

感谢分享


高工
2023-04-15 09:43:41     打赏
9楼

感谢分享


共9条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]