这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 求ise 11.1 约束文件的编写

共4条 1/1 1 跳转至

求ise 11.1 约束文件的编写

菜鸟
2010-04-22 12:03:22     打赏

我是用的是xilinx  ise 11.1套件进行设计的。 前面的语法综合都没有问题。但到ucf文件时就出现如下问题:

我在Edit Constraints (text)输入以下代码:
NET "clk"  LOC="C9"|IOSTANDARD=LVTTL;
NET "reset" LOC="L13"|IOSTANDARD=LVTTL|pullup|DRIVE=2;


NET "LCD_rs" LOC="L18"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "LCD_rw" LOC="L17"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "LCD_e" LOC="M18"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "LCD_d<0>" LOC="R15"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "LCD_d<1>" LOC="R16"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "LCD_d<2>" LOC="P17"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "LCD_d<3>" LOC="M15"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "flash_oe" LOC="C18"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "flash_ce" LOC="D16"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;
NET "flash_we" LOC="D17"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;


但进行到Implement Design 时出现以下错误:
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(2): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(5): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(6): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(7): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(8): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(9): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(10): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(11): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(12): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(13): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.
WARNING:ConstraintSystem - In file: oklcd_ucf.ucf(14): Syntax error.  Ensure that the previous constraint specification was terminated with ';'.

麻烦哪位高手给指点一下,到底问题出现在哪里~~不胜感激!




关键词: 约束     文件     编写     IOSTANDARD     LVTTL    

高工
2010-04-22 13:34:55     打赏
2楼
应该是语法错误或字符错误。
你用文本编辑器打开ucf文件看看

菜鸟
2012-01-13 11:26:27     打赏
3楼
你将NET "LCD_rs" LOC="L18"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;改成
NET "LCD_rs"  LOC="L18"|IOSTANDARD=LVTTL|SLEW=SLOW|DRIVE=2;要在LOC前面加上空格就好了


菜鸟
2020-09-08 17:45:15     打赏
4楼

楼主解决了吗?请问是如何解决的


共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]