这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » [原创]Modelsim仿真Altera的ROM时Failed to open

共2条 1/1 1 跳转至

[原创]Modelsim仿真Altera的ROM时Failed to open hex file的解决方法

高工
2011-04-08 10:02:36     打赏
我在Quartus II 9.1下新建了工程,编辑了程序,添加了IP,其中ROM的文件初始化文件用HEX格式的,然后再Modelsim下新建了仿真工程,将所有v文件添加进去,但仿真时出现了如下错误:
** Warning: (vsim-3534) [FOFIR] - Failed to open file "LUT_R0_ROM.hex" for reading.
# No such file or directory. (errno = ENOENT)    : d:/altera/91/quartus/eda/sim_lib/altera_mf.v(792)
#    Time: 0 ps  Iteration: 0  Instance: /tbFresourceCtrl/uut/Inst_ADF4153Cfg/Inst_LUT_R0_ROM/altsyncram_component
# ERROR: cannot read LUT_R0_ROM.hex.
# ** Warning: (vsim-7) Failed to open readmem file "LUT_R0_ROM.ver" in read mode.
# No such file or directory. (errno = ENOENT)    : d:/altera/91/quartus/eda/sim_lib/altera_mf.v(43706)
#    Time: 0 ps  Iteration: 0  Instance: /tbFresourceCtrl/uut/Inst_ADF4153Cfg/Inst_LUT_R0_ROM/altsyncram_component

查看Memory,发现相应的ROM里全是0,确实没初始化数据。

后来发现,必须要将HEX文件放到Modelsim工程的根目录下才行,而我为了方便管理文件,将ROM的文件放在IPCore目录下,而Modelsim工程也新建了一个目录放置,所以才会出现这个问题。

将HEX文件放到Modelsim工程的根目录下后,工程就可以正常仿真了,也生产了相应的LUT_R0_ROM.ver文件



关键词: 原创     Modelsim     仿真     Altera     Fai    

菜鸟
2011-07-29 21:56:38     打赏
2楼

补充一点modelsim不支持.mif初始化文件...


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]