这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 倍频

共7条 1/1 1 跳转至

倍频

菜鸟
2011-04-25 19:43:05     打赏
急急急,,求FPGA的任意整数倍频的电路设计代码,能是VHDL的最好! (不是DCM或是DLL的)



关键词: 倍频    

高工
2011-04-25 21:56:32     打赏
2楼
帮忙顶一下

高工
2011-04-26 09:39:57     打赏
3楼

你是要对信号倍频呢?还是对时钟倍频?

一般对时钟倍频只能用DCM和PLL


院士
2011-04-26 09:56:08     打赏
4楼
不知道LZ看到没有~~

菜鸟
2011-04-26 15:34:12     打赏
5楼

是对信号倍频。。。


高工
2011-04-26 16:23:20     打赏
6楼

只能给你思路,代码还是自己写吧。一上来就要代码可不好

先用系统时钟对输入信号计数,比如输入信号一个周期占400个CLK,那么如果要四倍频的话,就对系统时钟做75分频就可以了。

偶数分频容易,如果要求奇数分频,也有成熟的方案,自己搜一下


菜鸟
2011-04-27 19:52:09     打赏
7楼
一般对时钟倍频只能用DCM和PLL

共7条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]