这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 基于verilog的二-十进制转换器(BCD转换器)实现

共3条 1/1 1 跳转至

基于verilog的二-十进制转换器(BCD转换器)实现

工程师
2011-07-04 19:55:35     打赏
为了实现测量数据的实时显示,电子测量系统常用到二-十进制(BCD)转码器来完成数据的实时转换。目前,电子系统中的二-十进制(BCD)数制转换有三类实现方法,一是采用软件算法的实现方式,传统的方法是用DAA调节指令实现,但效率较低;其次是纯硬件运算实现方式,这种实现方式从数据转换运算到硬件的直接映射,常采用逻辑运算和数据移位来实现数据转换,转换效率较高,但是在转换数据位数较多时,运算量会显著增加,硬件实现代价也较大;三是基于数据查找表LUT(Lookup Table)的实现方式。

下面为一左移加3算法的思想与实例:
http://blog.sina.com.cn/s/blog_6c31e1650100pyqx.html



非常简单的一个6bit的BCD转换器实现:

module ConvertToBCD(
 input [5:0] bin_in,
 output [3:0] ones,
 output [3:0] tens
);
wire [3:0] add1,add2,add3; assign add1 = {1'b0,bin_in[5:3]}>=5 ? {1'b0,bin_in[5:3]}+4'd3:{1'b0,bin_in[5:3]};
assign add2 = {add1[2:0],bin_in[2]}>=5 ? {add1[2:0],bin_in[2]}+4'd3:{add1[2:0],bin_in[2]};
assign add3 = {add2[2:0],bin_in[1]}>=5 ? {add2[2:0],bin_in[1]}+4'd3:{add2[2:0],bin_in[1]};
assign ones = {add3[2:0],bin_in[0]};
assign tens = {1'b0,add1[3],add2[3],add3[3]};
endmodule



关键词: 基于     verilog     的二     十进制     转换器     实现    

助工
2012-02-23 16:43:23     打赏
2楼

有本书讲这个还不错。。。外国的《FPGA数字逻辑设计教程——verilog》

学习了。
我跟着就写了8bit的二进制转换成BCD

module BinaryToBCD(bin,BCD);

input [6:0] bin;
output [7:0] BCD;

wire [3:0] data1,data2,data3,data4,data5;

assign data1={1'b0,bin[6:4]}>=5?{1'b0,bin[6:4]}+4'b0011:{1'b0,bin[6:4]};
assign data2={data1[2:0],bin[3]}>=5?{data1[2:0],bin[3]}+4'd3:{data1[2:0],bin[3]};
assign data3={data2[2:0],bin[2]}>=5?{data2[2:0],bin[2]}+4'd3:{data2[2:0],bin[2]};
assign data4={data3[2:0],bin[1]}>=5?{data3[2:0],bin[1]}+4'd3:{data3[2:0],bin[1]};
assign data5={data4[2:0],bin[0]};

assign BCD={data1[3],data2[3],data3[3],data4[3],data5};


endmodule


菜鸟
2012-10-05 09:18:39     打赏
3楼
高手,学习了

共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]