这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 关于XILINX的FIR的IP核输出的位数截取问题!!!!!

共4条 1/1 1 跳转至

关于XILINX的FIR的IP核输出的位数截取问题!!!!!

菜鸟
2011-08-19 08:49:10     打赏
现在,在使用ISE13.1提供的FIR IPcore时,输入设定为无符号数8位,滤波器系数设为16位有符号数,输出全精度的时候应该为24位有符号数,但现在我想截8位输出,请问在截取时应该遵循什么原则,才能使输出精度相对来说比较高?因为,我要做的滤波器系数可调,但是,现在发现一个问题,就是在不同的系数下,输出的截取位需要不同,请问应该如何解决这个问题呢???? 谢谢指教:)



关键词: 关于     XILINX     输出     位数     截取     问题    

工程师
2011-08-22 09:31:44     打赏
2楼

这个问题是比较麻烦的!24位数中,高位都是符号位,符号位有几位,取决于你数的大小。你希望只保留一位符号位,但滤波器系数的变化,与输入信号的变化,都会影响输出!选择的原则,就是截取数据正确的情况下提高精度,其实就是输出信号最大时保留一位符号位。只能通过仿真,做选择!并且,通带内,滤波器的纹波,不宜过大!


菜鸟
2011-08-22 11:18:59     打赏
3楼
谢谢楼上的回答~~~~ 现在的问题是,我的输入数据都是变化的,不能事先预知,而且,也不能每次输入数据变化,都要仿真才能知道具体要输出哪几位数据,不太现实,所以,这个问题真的是很麻烦,另外,我想问下,如果采用spartan6中的DSP48的IP核,是否能解决这个问题呢?如果要采用FPGA实现精度高的滤波器,应该采取什么措施呢?谢谢指教:)

菜鸟
2011-08-30 14:25:07     打赏
4楼
供应ALTERA XILINX (FPGA/CPLD)芯片
深圳市恒兆赢科技有限公司/销售部:杨绿风
Mbile: 15999641554              Tel: 0755-83266848
Fax: 0755-83998200             QQ: 371611382                
MSN :  yanglvfeng@live.cn     Email: sz1003@marshalstar.com.hk
深圳福田区华强北华联发大厦928室


共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]