这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 十八笔画的进程贴_按键、数码管过程

共16条 1/2 1 2 跳转至

十八笔画的进程贴_按键、数码管过程

助工
2012-10-09 22:04:36     打赏

时间

内容

位置/链接

2012.9.25

申请帖

forum.eepw.com.cn/thread/221178/1

2012.10.7

收到套件、开始焊接

2

201210.16  焊接完毕  4
 2012.10.25  LED作业  8
 2012.11.05  LED作业2  10
 2012.11.08  LED作业全集  11
 2012.11.13  拨码开关控制数码管  12
 2012.11.13  数码管60秒计时  13
 2012.11.14  按键控制数码管(去抖)  14
 2012.12.17  1602一段式和三段式总结及代码  16
     
     
     
     
     
     
     
     
     

 




关键词: 十八     画的     进程     按键     数码     过程    

助工
2012-10-09 22:30:36     打赏
2楼
7号拿到快递,开始熟悉相关器件和原理,板子刚开始焊接,上班比较忙,进度有点慢。

核心板:


底板:


元件:




JTAG下载器:


高工
2012-10-09 23:35:06     打赏
3楼
最近看论坛照片,感觉自己应该换个拍照功能好点的手机了

助工
2012-10-16 22:38:21     打赏
4楼

节后上班比较忙,焊接进度比较慢,焊接中遇到的问题:
1 钽电容方向要注意;
2 核心芯片焊接经历多次才成功,第一次自己焊接电源部分1.2V输出不正常,一直是1.2V与GND导通,查了很长时间没找出原因。最后是硬件工程师帮忙,将主芯片吹下后重新焊接就好了。
3 焊接时注意器件的高低顺序,以免增加焊接时的不便。
4 元器件中无220的电阻,直接用200替换。
5 请下载最新的BOM表,元器件给的会有点多(电阻、电容),焊接完成的器件做好标识。
 


专家
2012-10-17 23:24:21     打赏
5楼
继续努力!!

助工
2012-10-22 21:56:04     打赏
6楼
哟!小哥,发的帖子有一楼目录哟,可以俺不懂规矩,么有一楼给俺做目录咯!

高工
2012-10-22 22:36:40     打赏
7楼
焊接经验总结不错~!加油

助工
2012-10-25 21:40:57     打赏
8楼

FPGFA_DIY教程_LED篇课后作业  
(1)跑马灯(左向)
          视频已拍,还不会上传,先传代码。

代码如下:
module led1(clk,rst,led);
 input clk;
 input rst;
 output led;
reg[10:0] led;
reg[31:0] count;

always@(posedge clk or negedge rst)
 begin
     if(!rst)
          count<=32'd0;
     else
         begin
             if(count==32'd9999999)
                  count<=32'd0;
            else
                  count<=count+1'b1;
        end
 end
 
always@(posedge clk or negedge rst)
 begin
     if(!rst)
          led<=11'b01111111111;
     else
         begin
             if(count==32'd9999999)
                  led<={led[0],led[10:1]};    //循环左移1位  左移两位为led<={led[1:0],led[10:2]};    
            else
                led<=led;
        end
 end 
 
endmodule


高工
2012-10-26 09:27:56     打赏
9楼
进步很快啊,加油!

助工
2012-11-05 22:49:45     打赏
10楼

LED作业2.  case 语句实现。
代码如下:
module led1(clk,rst,led);
     input clk;
     input rst;
     output led;
reg [10:0] led;
reg [31:0] count;
reg [5:0] state;

always @(posedge clk or negedge rst)
 begin
      if(!rst)
          begin
               count<=32'd0;
               state<=5'd0;
       end
   else
         begin
              if(count==32'd29999999)
                      begin
                           count<=32'd0;
                           if(state==5'd30)
                                  begin
                                          state<=5'd0;
                                  end
                           else
                                  state<=state+1'b1;
                       end
                 else
                        count<=count+1'b1;
         end
 end

 
always @ (state)
      begin
            case(state)
               5'd1: led<=11'b00000100000;
               5'd2: led<=11'b00001110000;
               5'd3: led<=11'b00011111000;
               5'd4: led<=11'b00111111100;
               5'd5: led<=11'b01111111110;
               5'd6: led<=11'b11111111111;
               5'd7: led<=11'b00000000000;
               5'd8: led<=11'b10000000000;
               5'd9: led<=11'b11000000000;
               5'd10: led<=11'b11100000000;
               5'd11: led<=11'b11110000000;
               5'd12: led<=11'b11111000000;
               5'd13: led<=11'b11111100000;
               5'd14: led<=11'b11111110000;
               5'd15: led<=11'b11111111000;
               5'd16: led<=11'b11111111100;
                5'd17: led<=11'b11111111110;
                5'd18: led<=11'b11111111111;
               5'd19: led<=11'b11111111110;
               5'd20: led<=11'b11111111100;
               5'd21: led<=11'b11111111000;
               5'd22: led<=11'b11111110000;
              5'd23: led<=11'b11111100000;
              5'd24: led<=11'b11111000000;
             5'd25: led<=11'b11110000000;
             5'd26: led<=11'b11100000000;
              5'd27: led<=11'b11000000000;
             5'd28: led<=11'b10000000000;
            5'd29: led<=11'b00000000000;
            default: led<=11'b11111111111;   
         endcase
 end
endmodule
   
    


共16条 1/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]