这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 计数器“同步装载与复位”的问题

共2条 1/1 1 跳转至

计数器“同步装载与复位”的问题

助工
2014-05-21 10:40:15     打赏
请问下,计数器“同步装载与复位”是指只要复位或者装载信号有效,即使当前时刻不在clk的上升沿或下降沿,也立即赋值吗?还是指必须要等到时钟信号上升沿来临的时候再赋值?

高工
2014-05-21 20:45:57     打赏
2楼

同步复位:敏感信号中没有复位信号,也就是即使复位有效,但是有效时钟沿没有到来就不会执行复位,只有在有效时钟沿到来,然后检测复位信号是否有效,然后半段是否复位,如下面的是在时钟的上升沿检测复位信号是否为低,如果是,则执行复位,
always @(posedge clk )
if (!reset)
异步复位:敏感信号中有复位信号,当复位信号有效时,立即执行复位,不需要等有效时钟沿到来,如下面所示
always @(posedge clk or negedge reset)
if (!reset)

 

针对数字系统的设计,我们经常会遇到复位电路的设

计,对初学者来说不知道同步复位与异步复位的区别与联

系,今天我对这个问题简要的阐述下,希望对初学者有一定

的参考意义,若有不正确的地方愿大家明示。

同步复位原理:

同步复位只有在时钟沿到来时复位信

号才起作用,则复位信号持续的时间应该超过一个时钟周期

才能保证系统复位。

异步复位原理:

异步复位只要有复位信号系统马上复

位,

因此异步复位抗干扰能力差,

有些噪声也能使系统复位,

因此有时候显得不够稳定,要想设计一个好的复位最好使用

异步复位同步释放。

 


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]