这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » quartus联合modelsim仿真

共1条 1/1 1 跳转至

quartus联合modelsim仿真

助工
2015-05-08 14:32:30     打赏

在quartus调用modelsim仿真过程中,出现了一个错误,如下所示:

Check the NativeLink log file I:/Quartus11.0/Myproject/testi_nativelink_simulation.rpt for detailed error message  
我的modelsim在quartus中的路径设置是对的,但不知道为什么会出现这个错误,请求解答。




关键词: quartus modelsim 仿真 nativ    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]