这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 关于VHDL中数组的赋值

共1条 1/1 1 跳转至

关于VHDL中数组的赋值

菜鸟
2016-08-03 11:15:41     打赏

本人小白,求助大神.

就是我用VHDL定义一个数组,TYPE store IS ARRAY (0 to 19) of INTEGER ; 

然后准备赋值store(0)<=72;

结果运行出错说Cannot convert type  universal_integer to type store

求问为什么呀.



共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]