这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » FPGA连接MIPI接口的实现方案

共12条 1/2 1 2 跳转至

FPGA连接MIPI接口的实现方案

工程师
2022-10-12 23:47:24     打赏

本文主要介绍MIPI D-PHY在FPGA上的实现。

随着camera和显示技术的发展,MIPI接口在实际运用中越来越广泛,MIPI接口协议层主要包括CSI和DSI两种,其中CSI主要用于图像输入,如图像传感器等;DSI主要用于图像输出,如屏幕显示器等。随着FPGA的广泛使用,实际应用中,经常会用到FPGA来连接各种camera模组进行图像采集输入,以及通过各种显示接口来进行图像的显示,这就要求FPGA端有CSI或者DSI接口,下面就针对FPGA端的MIPI接口实现方式进行简单的介绍。

1、CSI/DSI接口

常见的CSI和DSI接口如下图所示:

f3829052-45db-11ed-96c9-dac502259ad0.png

f3929c4a-45db-11ed-96c9-dac502259ad0.png

2、D-PHY

CSI和DSI的物理层常见的是D-PHY(也有兼容C-PHY的),下图是D-PHY的结构:

f3ab350c-45db-11ed-96c9-dac502259ad0.jpg

D-PHY支持HS(High Speed)和LP(Low Power)两种工作模式。HS模式下采用低压差分信号(SLVS电平),功耗较大,但是可以传输很高的数据速率(数据速率为80M~2.5Gbps),采用源同步的传输方式,由主机(Master)设备向从机(Slave)设备提供DDR时钟;LP模式下采用单端信号(1.2V LVCMOS信号),数据速率很低(≤10Mbps),但是相应的功耗也很低,用于传输初始化控制信号。两种模式的结合保证了MIPI总线在需要传输大量图像数据时可以高速传输,而在不需要大数据量传输时又能够减少功耗。

在LP模式下,只用lane0实现双向数据传输,时钟是使用数据Dp和Dn的EXOR恢复的。

3、FPGA实现方式

针对D-PHY的FPGA实现,主要有以下几种方式:

直接采用支持D-PHY的FPGA芯片(Xilinx的ultrascale系列、ultrascale+系列、LatTIce的Crosslink系列)

采用电平转换电阻网络转换后使用(收发分别采用不同的电阻网络)

采用专用芯片进行转换(meTIcom、晶门、龙迅、东芝等公司均有该类转换芯片)

3.1、FPGA自带D-PHY

Xilinx的ultrascale系列、ultrascale+系列的HP I/O banks就自带D-PHY。

f43a8ca2-45db-11ed-96c9-dac502259ad0.png

LatTIce的CrossLink和CrossLinkPlus系列就自带MIPI接口。

f481d530-45db-11ed-96c9-dac502259ad0.png

f4990034-45db-11ed-96c9-dac502259ad0.jpg

f4ba488e-45db-11ed-96c9-dac502259ad0.png

3.2、采用电阻匹配网络转换

Xilinx的FPGA可以通过简单的电阻匹配网络来实现CSI/DSI接口和FPGA对接,主要是从SLVS电平的特性出发,7系列FPGA的LVDS、HSTL、LVCMOS_18、HSUL_12等电平的输入都可以直接兼容到1.8V IO bank,因此可以通过简单的转换实现SLVS电平直接对接7系列FPGA。

f5190180-45db-11ed-96c9-dac502259ad0.png

f5c65074-45db-11ed-96c9-dac502259ad0.png

f605afb2-45db-11ed-96c9-dac502259ad0.png

f619ee64-45db-11ed-96c9-dac502259ad0.png

鉴于以上特性,将接收和发送分开进行匹配如下:

f62d36d6-45db-11ed-96c9-dac502259ad0.png

f6cd1f3e-45db-11ed-96c9-dac502259ad0.png

3.3、专用芯片转换

专用转换芯片有各种各样的,有的单通道的,有的四通道的,具体厂家和型号可根据实际应用进行选择,国外的有meTIcom、东芝等,国产的有晶门、龙迅、集创等厂家。

f73c2fe6-45db-11ed-96c9-dac502259ad0.png

f7703002-45db-11ed-96c9-dac502259ad0.png

f7d063c8-45db-11ed-96c9-dac502259ad0.jpg

以上就是针对FPGA连接MIPI接口的实现方案简介,实际应用中可以根据性价比、实现难度等多方面考虑。




专家
2022-10-13 01:30:29     打赏
2楼

感谢楼主的分享,很实用了。


高工
2022-10-13 03:38:24     打赏
3楼

感谢楼主的分享,很实用了。


专家
2022-10-13 08:25:36     打赏
4楼

学习了


院士
2022-10-13 08:37:08     打赏
5楼

感谢分享


菜鸟
2022-10-13 08:41:59     打赏
6楼

学习了,谢谢


专家
2022-10-13 08:47:03     打赏
7楼

感谢分享



院士
2022-10-13 08:53:21     打赏
8楼

谢谢分享


高工
2022-10-13 22:26:13     打赏
9楼

谢楼主的分享


高工
2022-10-13 23:25:50     打赏
10楼

谢谢分享,学习学习


共12条 1/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]