这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 基础知识 » 430,MAX7219 哪位哥哥能发个430驱动MAX7219的程序,谢谢了

共2条 1/1 1 跳转至

430,MAX7219 哪位哥哥能发个430驱动MAX7219的程序,谢谢了

院士
2006-09-17 18:14:16     打赏
430,MAX7219 哪位哥哥能发个430驱动MAX7219的程序,谢谢了



关键词: MAX7219     哪位     哥哥     能发个     驱动     程序         

院士
2006-12-22 22:43:00     打赏
2楼
问 请发到iamkreayyahoo.com.cn或者直接贴在这里,非常感谢!! 1: 7219是串行口协议,5V电压芯片,应有适当的电平转换. 2: 我自己写了一个,但在我家里

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]