这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » jobs 的FPGA DIY进程帖(秒表小作品)

共31条 2/4 1 2 3 4 跳转至
高工
2012-09-25 23:22:19     打赏
11楼
上次球球耍帅,这次轮到乔布斯了

助工
2012-09-26 00:50:24     打赏
12楼
这照片拍的不错,多少万物理像素拍的啊?

院士
2012-09-26 09:19:55     打赏
13楼
兔子~~~~~~~~~

院士
2012-09-26 09:53:07     打赏
14楼
我的相机为1000万像素 滴。

助工
2012-09-27 23:05:52     打赏
15楼

争取挣到一台,嘿嘿!


高工
2012-09-27 23:49:17     打赏
16楼
拍摄水平不错!把板子照的很漂亮!

专家
2012-09-28 15:59:45     打赏
17楼
相机倒是不错的哦

院士
2012-11-14 18:29:51     打赏
18楼
强势来袭,我的焊接展示……









上电已经可以找到jtag啊~~

院士
2012-11-14 20:23:23     打赏
19楼
够霸气~~~~

院士
2012-11-24 13:43:40     打赏
20楼

我的LED灯——作业1
实验目的: 熟悉QuartusII软件及FPGA初学 熟悉verilog语言 实验内容: 将LED灯间隔点亮,此为常亮。 源代码如下:

module led_show(led);

 output [7:0] led;  assign led = 8'b10101010; endmodule 将LED灯以每隔0.5秒闪烁,源代码如下:

module led_flicker(sys_clk, sys_rstn, led);

 input sys_clk;  input sys_rstn;  output [7:0] led;                                        /* LED输出信号 */  reg [7:0] led;  reg [24: 0] delay_cnt;    always @(posedge sys_clk or negedge sys_rstn)  begin     if(!sys_rstn)       delay_cnt <= 25'd0;     else     begin       if(delay_cnt == 25'd24999999)         delay_cnt <= 25'd0;       else         delay_cnt <= delay_cnt + 1'b1;     end  end    

 always @ (posedge sys_clk or negedge sys_rstn)

 begin     if(!sys_rstn)       led <= 8'b11111111;     else     begin       if(delay_cnt == 25'd24999999)         led <= ~led;       else         led <= led;     end  end   endmodule 实验结果: 完成LED灯交替常量显示 根据教程所示,将LED灯以1Hz交替显示。(视频省略) 作业: LED灯以0.5Hz的频率闪烁,此时只须要更改1Hz的时钟计数周期即可,源代码如下:

module led_flicker(sys_clk, sys_rstn, led);

 input sys_clk;  input sys_rstn;  output [7:0] led;                                        /* LED输出信号 */  reg [7:0] led;  reg [25: 0] delay_cnt;    always @(posedge sys_clk or negedge sys_rstn)  begin     if(!sys_rstn)       delay_cnt <= 26'd0;     else     begin       if(delay_cnt == 26'd4999999)         delay_cnt <= 26'd0;       else         delay_cnt <= delay_cnt + 1'b1;     end  end    

 always @ (posedge sys_clk or negedge sys_rstn)

 begin     if(!sys_rstn)       led <= 8'b11111111;     else     begin       if(delay_cnt == 26'd4999999)         led <= ~led;       else         led <= led;     end  end   endmodule   完成编译,并成功在fpga开发板上显示。Cheer~~

共31条 2/4 1 2 3 4 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]