LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY PSGtraffic is
port(clk:in std_logic;
people:in std_logic;
cnttime: out std_logic_vector(7 downto 0);
carled: out std_logic_vector(3 downto 0);
peopleled: out std_logic(3 downto 0));
end PSGtraffic;
ARCHITECTURE behave OF PSGtraffic IS
type statetype is (CGPR,CYPR,CRPG);
SIGNAL STATE: statetype := CGPR;
SIGNAL cnt :std_logic_vector(7 downto 0);
begin
process(clk,people,cnt)
begin
if (clk'event and clk='1')then
if clk/=0 then
if cnt(3 downto 0)=x"0"then
cnt(7 downto 4)<=cnt(7 downto 4)-1;
cnt(3 downto 0)<=x"9";
else
cnt(3 downto 0)<=cnt(3 downto 0)-1;
end if;
end if;
case state is
when CGPR =>
carled<="0010";
peopleled<="0100";
if people ="1"and cnt=0 then
state <= CYPR;
cnt <=x"3"AFTER 30s;
end if;
when CYPR =>
carled<="0001";
peopleled<="0100";
if cnt = 0 then
state <= CRPG;
cnt <=x"15";
end if;
when CRPG =>
carled<="0100";
peopleled<="0010";
if cnt = 0 then
if people ="0" and cnt=0 then
state <= CGPR;
cnt <=x"30";
end if;
when others => NULL;
end case;
end if;
cnttime<=cnt;
end process;
end behave;
有奖活动 | |
---|---|
【有奖活动——B站互动赢积分】活动开启啦! | |
【有奖活动】分享技术经验,兑换京东卡 | |
话不多说,快进群! | |
请大声喊出:我要开发板! | |
【有奖活动】EEPW网站征稿正在进行时,欢迎踊跃投稿啦 | |
奖!发布技术笔记,技术评测贴换取您心仪的礼品 | |
打赏了!打赏了!打赏了! |