这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 用VHDL控制步进电机转1米,请大家探讨一下

共2条 1/1 1 跳转至

用VHDL控制步进电机转1米,请大家探讨一下

工程师
2010-06-05 23:19:06     打赏
这是顶层文件,但不知道为什么步进电机就是不转,时序图都是对的!
library ieee;
use ieee.std_logic_1164.all;
entity top is
 port(clk,start,rst,path:in std_logic;
      input:in std_logic_vector(6 downto 0);
      co,ln1,ln2,ln3,ln4:out std_logic;
      cout0,cout1,cout2: out std_logic_vector(6 downto 0));
end top;
architecture behav of top is
  component fr
    port(clk,cp,start,rst,path:in std_logic;
       input:in std_logic_vector(6 downto 0);
       cout0,cout1,cout2: out std_logic_vector(3 downto 0);
       co,ln1,ln2,ln3,ln4:out std_logic);
   end component;
  component cnt1
    port (clk,rst:in std_logic;
        cout:out std_logic);
   end component;
  component cnt2
    port (clk,rst:in std_logic;
        cout:out std_logic);
   end component;
  component decl7s
    port(A:in std_logic_vector(3 downto 0);
         led7s:out std_logic_vector(6 downto 0));
  end component;
 signal a,b,c:std_logic;
 signal d,e,f:std_logic_vector(3 downto 0);
  begin
   u1:fr port map(clk=>b,cp=>a,start=>start,rst=>rst,path=>path,input=>input,co=>co,cout0=>d,cout1=>e,cout2=>f,ln1=>ln1,ln2=>ln2,ln3=>ln3,ln4=>ln4);
   u2:cnt1 port map(clk=>clk,rst=>rst,cout=>a);
   u3:cnt2 port map(clk=>a,rst=>rst,cout=>b);
   u4:decl7s port map(A=>d,led7s=>cout0);
   u5:decl7s port map(A=>e,led7s=>cout1);
   u6:decl7s port map(A=>f,led7s=>cout2);
end behav;



关键词: 控制     步进     电机     大家     探讨     一下     logic    

高工
2010-06-06 12:27:54     打赏
2楼
既然时序图是正确的,那么查看程序就没有什么意义了。

用示波器看板子上的波形,才能找出原因

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]