这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » [原创]FPGA-核nios全面接触

共31条 1/4 1 2 3 4 跳转至

[原创]FPGA-核nios全面接触

工程师
2007-04-25 00:44:20     打赏
[replyview] NIOS II的 UART
NIOS II的UART 与通用串口兼容,用于可以设置自己的需求通信模式,比如波特率 奇偶校验 停止位 数据位和其他控制信号 主要的寄存器有: txdata,rxdata,status control divisor endof packet,串口要说的东西其实不是很多,但是其确有很多很多的位定义,比如irrdy itrdy等等发送接受传输和错误检测等控制位,这里就不一一列出了,太多了,用的东西在NIOS中看名字大概也能看出来.具体见头文件         #i nclude "altera_avalon_uart_regs.h" 下面是我曾经用过的一个UART通信中断程序 int handle_uart_interrupts(void* context, alt_u32 id)
{
FILE *uart_file;
uart_file=fopen("/dev/uart_0","r+");
if (uart_file == NULL)
{
printf("can't open uart_device!");
return 0 ;
}
uart_buf[k++]=IORD_ALTERA_AVALON_UART_RXDATA(UART_0_BASE);
if(uart_buf[k-1]=='f')
{
char search[20] = "s ";
uart_buf[k-1]='\0';
k=0;
//printf("串口%s ", uart_buf);
if (cur_panel.id == 0)
{
search[0] = 'c';
if (strlen(uart_buf) == 2)
{
search[2] = '0';
search[3] = '\0';
}
}
if (strlen(uart_buf) == 6)
{
search[2] = '9';
search[3] = '\0';
}
strcat(search, uart_buf);
strcpy(sendbuf, search);
}
fclose(uart_file);
return 0;
}   仔细看看上面的程序,并与头文件 #i nclude "altera_avalon_uart_regs.h"中所定义的可用寄存器比较一下会发现,上述写法并不可取,上述程序接受数据是字符的依次读入,其实可以在控制位和发送接受寄存器的配合下,则可以很高效的写出通信程序,而不必像上面那样显得有点"笨". NIOS II的 PIO
  PIO模块也作为SOPC Builder库中的一个组件,可以是1-32位的并行接口,有多种配置选项,比如输入\输出\双向\触发方式(若用于中断的话) 其相关的寄存器如下: 数据寄存器 data 方向寄存器 direction 中断允许寄存器 interruptmask 边沿捕获寄存器(edgecapture) (RISING.FALLING.ANY)
  PIO的应用还是比较简单的,一般作为NIOS 与外部电路(还是FPGA内)的接口,比如数据\中断控制等等,下面贴上PIO的一些设置项,同样在system.h头文件中,几乎所有的设置都可以在 system.h和PTF文件中找到原型.
#define PIO_NAME "/dev/pio"
#define PIO_TYPE "altera_avalon_pio"
#define PIO_BASE 0x00001000
#define PIO_SPAN 16
#define PIO_DO_TEST_BENCH_WIRING 0
#define PIO_DRIVEN_SIM_VALUE 0x0000
#define PIO_HAS_TRI 0
#define PIO_HAS_OUT 1
#define PIO_HAS_IN 0
#define PIO_CAPTURE 0
#define PIO_EDGE_TYPE "NONE"
#define PIO_IRQ_TYPE "NONE"
#define PIO_FREQ 50000000   我想上述各个选项的含义就不多说了,应该很好理解,下面贴一个当时写过的一个PIO中断控制程序,求大家批评.
#i nclude "altera_avalon_pio_regs.h" IOWR_ALTERA_AVALON_PIO_IRQ_MASK(BUTTON_PIO_BASE, 0xf);
alt_irq_register(BUTTON_PIO_IRQ,edge_capture_ptr,handle_button_interrupts); void handle_button_interrupts() {}
  大概好象是这个样子的,不是记得很清楚了,仅供参考
NIOS II 的定时器
  如果你对单片机熟悉的话,那么NIOS II的定时器会很简单的理解,并很好的应用.定时器模块是NIOS 开发工具包的一个库组件,其可用于周期脉冲发生器或看门狗定时器.记得当时参加NIOS 竞赛的时候发现很多的资料不仅老,而且少,所以我这里先把一些关键寄存器说一下(想到哪,说到哪,有点乱) NIOS 定时器模块是32位的内部定时器,以下寄存器均为16位. 寄存器名 status 位0 : to位,内部计时器为0时,to 位置1 位1: run位,内部计时器运行时,run位置1,否则0 control 位0: ito 位,如果该位置1,则当状态寄存器的to 位置1(定时器溢出),计时器发出中断请求.若其置0,则不产生中断. 位1: cont 位 内部计时器为0时,,计时器重载preiodl和preiodh,若cont 为1,则定时器连续计时,只有写stop位停止,若cont 为0,则重载初值后,停止计时. 位2: start 见名知意 位3: stop 见名知意 periodl 计数器低16位 periodh 计数器高16位 snapl 计数器捕捉寄存器(读地位状态) snaph 计数器捕捉寄存器(读高位状态)
  对nios中的定时器的具体功能设定可以通过SOPC中进行相应勾选 若需要watch dog的话,则在SOPC中选择Timer,在Preset configration选择 watch dog
关于对看门狗的具体设置,则在头文件system.h中加以修改,具体如下: #define WATCH_DOG_NAME "/dev/watch_dog"
#define WATCH_DOG_TYPE "altera_avalon_timer"
#define WATCH_DOG_BASE 0x00001020
#define WATCH_DOG_SPAN 32
#define WATCH_DOG_IRQ 0
#define WATCH_DOG_ALWAYS_RUN 1
#define WATCH_DOG_FIXED_PERIOD 1
#define WATCH_DOG_SNAPSHOT 0
#define WATCH_DOG_PERIOD 1
#define WATCH_DOG_PERIOD_UNITS "ms"
#define WATCH_DOG_RESET_OUTPUT 1
#define WATCH_DOG_TIMEOUT_PULSE_OUTPUT 0
#define WATCH_DOG_MULT 0.001
#define WATCH_DOG_FREQ 50000000 若应用为计时器的话,则关于在NIOS IDE中的具体编程,在头文件 include "altera_avalon_timer_regs.h"中定义了所有的寄存器的地址,通过IOWR IORD等指令可以很方面的进行定时器的设计 /******************************************************************************
* *
* License Agreement *
* *
* Copyright (c) 2003 Altera Corporation, San Jose, California, USA. *
* All rights reserved. *
* *
* Permission is hereby granted, free of charge, to any person obtaining a *
* copy of this software and associated documentation files (the "Software"), *
* to deal in the Software without restriction, including without limitation *
* the rights to use, copy, modify, merge, publish, distribute, sublicense, *
* and/or sell copies of the Software, and to permit persons to whom the *
* Software is furnished to do so, subject to the following conditions: *
* *
* The above copyright notice and this permission notice shall be included in *
* all copies or substantial portions of the Software. *
* *
* THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR *
* IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, *
* FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE *
* AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER *
* LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING *
* FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER *
* DEALINGS IN THE SOFTWARE. *
* *
* This agreement shall be governed in all respects by the laws of the State *
* of California and by the laws of the United States of America. *
* *
******************************************************************************/ #ifndef __ALTERA_AVALON_TIMER_REGS_H__
#define __ALTERA_AVALON_TIMER_REGS_H__ #i nclude <io.h> /* STATUS register */
#define ALTERA_AVALON_TIMER_STATUS_REG 0
#define IOADDR_ALTERA_AVALON_TIMER_STATUS(base) \
__IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_STATUS_REG)
#define IORD_ALTERA_AVALON_TIMER_STATUS(base) \
IORD(base, ALTERA_AVALON_TIMER_STATUS_REG)
#define IOWR_ALTERA_AVALON_TIMER_STATUS(base, data) \
IOWR(base, ALTERA_AVALON_TIMER_STATUS_REG, data)
#define ALTERA_AVALON_TIMER_STATUS_TO_MSK (0x1)
#define ALTERA_AVALON_TIMER_STATUS_TO_OFST (0)
#define ALTERA_AVALON_TIMER_STATUS_RUN_MSK (0x2)
#define ALTERA_AVALON_TIMER_STATUS_RUN_OFST (1) /* CONTROL register */
#define ALTERA_AVALON_TIMER_CONTROL_REG 1
#define IOADDR_ALTERA_AVALON_TIMER_CONTROL(base) \
__IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_CONTROL_REG)
#define IORD_ALTERA_AVALON_TIMER_CONTROL(base) \
IORD(base, ALTERA_AVALON_TIMER_CONTROL_REG)
#define IOWR_ALTERA_AVALON_TIMER_CONTROL(base, data) \
IOWR(base, ALTERA_AVALON_TIMER_CONTROL_REG, data)
#define ALTERA_AVALON_TIMER_CONTROL_ITO_MSK (0x1)
#define ALTERA_AVALON_TIMER_CONTROL_ITO_OFST (0)
#define ALTERA_AVALON_TIMER_CONTROL_CONT_MSK (0x2)
#define ALTERA_AVALON_TIMER_CONTROL_CONT_OFST (1)
#define ALTERA_AVALON_TIMER_CONTROL_START_MSK (0x4)
#define ALTERA_AVALON_TIMER_CONTROL_START_OFST (2)
#define ALTERA_AVALON_TIMER_CONTROL_STOP_MSK (0x8)
#define ALTERA_AVALON_TIMER_CONTROL_STOP_OFST (3) /* PERIODL register */
#define ALTERA_AVALON_TIMER_PERIODL_REG 2
#define IOADDR_ALTERA_AVALON_TIMER_PERIODL(base) \
__IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIODL_REG)
#define IORD_ALTERA_AVALON_TIMER_PERIODL(base) \
IORD(base, ALTERA_AVALON_TIMER_PERIODL_REG)
#define IOWR_ALTERA_AVALON_TIMER_PERIODL(base, data) \
IOWR(base, ALTERA_AVALON_TIMER_PERIODL_REG, data)
#define ALTERA_AVALON_TIMER_PERIODL_MSK (0xFFFF)
#define ALTERA_AVALON_TIMER_PERIODL_OFST (0) /* PERIODH register */
#define ALTERA_AVALON_TIMER_PERIODH_REG 3
#define IOADDR_ALTERA_AVALON_TIMER_PERIODH(base) \
__IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_PERIODH_REG)
#define IORD_ALTERA_AVALON_TIMER_PERIODH(base) \
IORD(base, ALTERA_AVALON_TIMER_PERIODH_REG)
#define IOWR_ALTERA_AVALON_TIMER_PERIODH(base, data) \
IOWR(base, ALTERA_AVALON_TIMER_PERIODH_REG, data)
#define ALTERA_AVALON_TIMER_PERIODH_MSK (0xFFFF)
#define ALTERA_AVALON_TIMER_PERIODH_OFST (0) /* SNAPL register */
#define ALTERA_AVALON_TIMER_SNAPL_REG 4
#define IOADDR_ALTERA_AVALON_TIMER_SNAPL(base) \
__IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAPL_REG)
#define IORD_ALTERA_AVALON_TIMER_SNAPL(base) \
IORD(base, ALTERA_AVALON_TIMER_SNAPL_REG)
#define IOWR_ALTERA_AVALON_TIMER_SNAPL(base, data) \
IOWR(base, ALTERA_AVALON_TIMER_SNAPL_REG, data)
#define ALTERA_AVALON_TIMER_SNAPL_MSK (0xFFFF)
#define ALTERA_AVALON_TIMER_SNAPL_OFST (0) /* SNAPH register */
#define ALTERA_AVALON_TIMER_SNAPH_REG 5
#define IOADDR_ALTERA_AVALON_TIMER_SNAPH(base) \
__IO_CALC_ADDRESS_NATIVE(base, ALTERA_AVALON_TIMER_SNAPH_REG)
#define IORD_ALTERA_AVALON_TIMER_SNAPH(base) \
IORD(base, ALTERA_AVALON_TIMER_SNAPH_REG)
#define IOWR_ALTERA_AVALON_TIMER_SNAPH(base, data) \
IOWR(base, ALTERA_AVALON_TIMER_SNAPH_REG, data)
#define ALTERA_AVALON_TIMER_SNAPH_MSK (0xFFFF)
#define ALTERA_AVALON_TIMER_SNAPH_OFST (0) #endif /* __ALTERA_AVALON_TIMER_REGS_H__ */

NIOS II DMA

NIOS DMA同样是Altera SOPC Builder库组件 DMA可用于存储器之间、存储器与外设、外设之间的数据传输,允许没有CPU干预,完成固定长度或者可变长度的数据传输 DMA外设通过两个Avalon主端口(一读一写)和一从端口控制。 典型DMA传递过程如下: 1。通过写控制端口设置DMA的数据传输方式 2。启动DMA外设,实施数据传输(CPU不干预) 3。DMA读传输主端口从目标地址(内存或者外设)读取数据,写端口向目的地址(内存或者外设)写数据。读写之间通过FIFO进行数据缓冲。 4。只指定字节数的数据传输完成或者传输了一个包结束(EOP)时,DMA将结束传输。DMA外设可以在传输结束时发出中断请求。 5。传输当中或者结束后,都可以通过查看DMA的状态寄存器来判断传输在进行还是已经结束 主要寄存器: status 第0位:done 第1位:busy           第2位:reop(读取当前数据包结束)           第3位:weop(写当前数据包结束)           第4位:len(完成一次DMA传输,len置1) readaddress(主读取起始地址),存放的是传输数据的源地址,其宽度由用户在SOPC中自己定义。(与外设匹配)         writeaddress(主写入起始地址),与上类似        length ,存放读写端口之间需要传输的字节数,长度寄存器宽度由系统生成时的设置决定,DMA每完成一次写传输,length寄存器值减一,当减为0时,len位使能。其寄存器的值按照字节数计算,双字传输,必须是4的倍数,字的传输,当然是2的倍数啦!!        control 寄存器: 位0: byte 字节传输                位1:  hw 字传输                位2: word 双字传输                位3: go DMA 使能                位4: i_en 中断使能                位5:reen 读数据包使能                位6:ween写数据包使能                位7:leen ,置1时,DMA在传输完length数目数据的时候结束传输(已经知道传输数据量),若设置0,则不会停止,使用于数据量不确定的场合。                位8:rcon 从固定地址读取                位9:wcon 从固定地址写入 读数据的地址每次访问递增1.2.4个字节,具体是几取决去传输的是字节、字、或者半字,若rcon置1,则地址不递增。 当done&&i_en == 1时候,dma向外设发出中断请求,典型的中断处理程序首先读取状态寄存器的len,reop,weop位来判断中断原因。然后,写转台寄存器清除中断,处理后,进行下一次DMA传输。 NIOS II常用函数详解

IO操作函数
函数原型:IORD(BASE, REGNUM)
输入参数:BASE为寄存器的基地址,REGNUM为寄存器的偏移量
函数说明:从基地址为BASE的设备中读取寄存器中偏移量为REGNUM的单元里面的值。寄存器的值在地址总线的范围之内。
返回值: -

函数原型:IOWR(BASE, REGNUM, DATA)
输入参数:BASE为寄存器的基地址,REGNUM为寄存器的偏移量,DATA为要写入的数据
函数说明:往偏移量为REGNUM寄存器中写入数据。寄存器的值在地址总线的范围之内。
返回值: -

函数原型:IORD_32DIRECT(BASE, OFFSET)
输入参数:BASE为寄存器的基地址,OFFSET为寄存器的的偏移量
函数说明:从地址位置为BASE+OFFSET的寄存器中直接读取32Bit的数据
返回值: -

函数原型:IORD_16DIRECT(BASE, OFFSET)
输入参数:BASE为寄存器的基地址,OFFSET为寄存器的的偏移量
函数说明:从地址位置为BASE+OFFSET的寄存器中直接读取16Bit的数据
返回值: -

函数原型:IORD_8DIRECT(BASE, OFFSET)
输入参数:BASE为寄存器的基地址,OFFSET为寄存器的的偏移量
函数说明:从地址位置为BASE+OFFSET的寄存器中直接读取8Bit的数据
返回值: -


函数原型:IOWR_32DIRECT(BASE, OFFSET, DATA)
输入参数:BASE为寄存器的基地址,REGNUM为寄存器的偏移量,DATA为要写入的数据
函数说明:往地址位置为BASE+OFFSET的寄存器中直接写入32Bit的数据
返回值: -

函数原型:IOWR_16DIRECT(BASE, OFFSET, DATA)
输入参数:BASE为寄存器的基地址,REGNUM为寄存器的偏移量,DATA为要写入的数据
函数说明:往地址位置为BASE+OFFSET的寄存器中直接写入16Bit的数据
返回值: -

函数原型:IOWR_8DIRECT(BASE, OFFSET, DATA)
输入参数:BASE为寄存器的基地址,REGNUM为寄存器的偏移量,DATA为要写入的数据
函数说明:往地址位置为BASE+OFFSET的寄存器中直接写入8Bit的数据
返回值: -

Dma:
函数原型:int alt_dma_rxchan_close (alt_dma_rxchan rxchan)
输入参数:rxchan为接收信道
函数说明:函数 alt_dma_rxchan_close ()通知系统:应用程序已经完成DMA
接收信道rxchan,目前执行是成功的
返回值: 成功返回为0,反之为-1

函数原型:alt_dma_rxchan_depth(alt_dma_rxchan dma)
输入参数:dma
函数说明:函数alt_dma_rxchan_depth ()返回传送到特别DMA的最大数量(深度)的接收请求
返回值: DMA的最大数量


函数原型:int alt_dma_rxchan_ioctl (alt_dma_rxchan dma, int req, void* arg)
输入参数:dma直接存储器名, req为请求操作的列举, arg由请求决定
函数说明:通过DMA接收信道执行设备的具体I/O操作
返回值: 成功返回请求具体值,反之返回为负数
请求类型
请求类型 请求类型说明
ALT_DMA_SET_MODE_8 传输以8Bit为单位的数据,arg值忽略
ALT_DMA_SET_MODE_16 传输以16Bit为单位的数据,arg值忽略
ALT_DMA_SET_MODE_32 传输以32Bit为单位的数据,arg值忽略
ALT_DMA_SET_MODE_64 传输以64Bit为单位的数据,arg值忽略
ALT_DMA_SET_MODE_128 传输以128Bit为单位的数据,arg值忽略
ALT_DMA_TX_ONLY_ON (1) 软件控制下只能发送
ALT_DMA_TX_ONLY_OFF (1) 自定义模式,软件控制下可以接收,发送
ALT_DMA_RX_ONLY_ON (1) 软件控制下只能接收
ALT_DMA_RX_ONLY_OFF (1) 自定义模式,软件控制下可以接收,发送

函数原型:alt_dma_rxchan alt_dma_rxchan_open (const char* name)
输入参数:name为常数字符指针,如/dev/dma_0
函数说明:为DMA接收信道获得一个alt_dma_rxchan描述符
返回值: 成功返回非0,反之返回为0

函数原型:int alt_dma_rxchan_prepare (alt_dma_rxchan dma, void* data,
alt_u32 length, alt_rxchan_done * done, void* handle)
输入参数:dma使用的信道;data接收数据位置的指针;length最大的接收数据长度;done一旦数据被接收,调用返回函数;handle,非透明值传到done
函数说明:发送一个接收请求到DMA接收信道,
返回值: 成功返回0,反之返回为负数

函数原型:int alt_dma_rxchan_reg (alt_dma_rxchan_dev * dev)
输入参数:dev接收信道设备名
函数说明:给系统寄存DMA接收信道
返回值: 成功返回0,反之返回为负数

函数原型:int alt_dma_txchan_close (alt_dma_txchan txchan)
输入参数:txchan发送信道名
函数说明:通知系统:应用程序已经完成DMA发送信道txchan
返回值: 成功返回0,反之返回为负数

函数原型:int alt_dma_txchan_ioctl (alt_dma_txchan dma, int req, void* arg)
输入参数:dma直接存储器名;req为请求操作的列举;arg请求的额外参数,由请求决定
函数说明:通过DMA发送信道执行设备的具体I/O操作
返回值: 成功返回请求具体值,反之返回为负数

函数原型:alt_dma_txchan alt_dma_txchan_open (const char* name)
输入参数:name为常数字符指针,如/dev/dma_0
函数说明:为DMA发送信道获得一个alt_dma_rxchan描述符
返回值: 成功返回非0,反之返回为0

函数原型:int alt_dma_txchan_reg (alt_dma_txchan_dev* dev)
输入参数:dev接收信道设备名
函数说明:给系统寄存DMA发送信道
返回值: 成功返回0,反之返回为负数

函数原型:int alt_dma_txchan_send (alt_dma_txchan dma, const void* from,
alt_u32 length, alt_txchan_done* done, void* handle)
输入参数:dma使用的信道;data接收数据位置的指针;length最大的接收数据长度;done一旦数据被接收,调用返回函数;handle,非透明值传到done
函数说明:发送一个发送请求到DMA发送信道,
返回值: 发送成功返回0,反之返回为负数

函数原型:nt alt_dma_txchan_space (alt_dma_txchan dma)
输入参数:dma 直接存储器名
函数说明:返回被传送到具体DMA发送信道的发送请求数目
返回值: 返回发送请求数目

Flash
函数原型:int alt_erase_flash_block(alt_flash_fd* fd, int offset, int length)
输入参数:fd为具体的flash设备;offset擦除的flash模块的偏移量;length擦除的flash模块的长度
函数说明:擦除单独的一个flash模块
返回值: 发送成功返回0,反之返回为负数

函数原型:void alt_flash_close_dev(alt_flash_fd * fd)
输入参数:fd为具体的flash设备
函数说明:关闭flash设备
返回值: -

函数原型:alt_flash_fd * alt_flash_open_dev(const char* name)
输入参数:
函数说明:打开flash设备。一旦打开,函数alt_write_flash()用来写入,函数alt_read_flash()用来读取数据,或者使用函数alt_get_flash_info(), alt_erase_flash_block(), alt_write_flash_block(),控制单个模块
返回值: 失败返回0,成功其他值

函数原型:int alt_get_flash_info(alt_flash_fd* fd, flash_region ** info,
int* number_of_regions)
输入参数:fd flash设备;info指向flash_region结构体的指针;number_of_regions
函数说明:得到擦除flash区域的细节
返回值: 发送成功返回0,反之返回为负数

函数原型:int alt_read_flash(alt_flash_fd* fd, int offset, void* dest_addr, int length)
输入参数:dest_addr目标地址指针
函数说明:从flash偏移量为offset字节开始读取数据,写入到目标地址dest_addr中
返回值: 成功返回0,反之为非0
函数原型:int alt_write_flash(alt_flash_fd* fd, int offset, const void* src_addr,
int length)
输入参数:src_addr源地址;fd,flash设备;offset 偏移量;length字节长度
函数说明:写数据到flsah中,要写的数据在源地址src_addr中
返回值: 成功返回0,反之为非0

函数原型:int alt_write_flash_block(alt_flash_fd* fd, int block_offset, int data_offset,
const void *data, int length)
输入参数:fd;data_offset起始写数据的偏移量;length为要写数据的长度
函数说明:写入到一个已擦除的flash模块
返回值: 成功返回0,反之为非0

Irq
函数原型:alt_irq_context alt_irq_disable_all (void)
输入参数:void
函数说明:禁止所有中断
返回值: 传递的值作为随后的函数调用的输入参数


函数原型:void alt_irq_enable_all (alt_irq_context context)
输入参数:先前调用函数alt_irq_disable_all (void)的返回值,
函数说明:启动所有中断
返回值: -

函数原型:int alt_irq_enabled (void)
输入参数:void
函数说明:启动中断
返回值: 禁止中断返回0,反之为非0

函数原型:int alt_irq_register (alt_u32 id, void* context, void (*isr)(void*, alt_u32))
输入参数:id,32位无符号数,中断使能;context和id是isr的两个输入参数;中断激活时调用isr
函数说明:寄存一个isr
返回值: 成功返回0,反之为非0


函数原型:int alt_write_flash(alt_flash_fd* fd, int offset, const void* src_addr,
int length)
输入参数:src_addr源地址;fd,flash设备;offset 偏移量;length字节长度
函数说明:写数据到flsah中,要写的数据在源地址src_addr中
返回值: 成功返回0,反之为非0

函数原型:int alt_write_flash_block(alt_flash_fd* fd, int block_offset, int data_offset,
const void *data, int length)
输入参数:fd;data_offset起始写数据的偏移量;length为要写数据的长度
函数说明:写入到一个已擦除的flash模块
返回值: 成功返回0,反之为非0

函数原型:int close (int filedes)
输入参数:filedes,描述符
函数说明:标准的UNIX函数close(),关闭文件描述符filedes
返回值: 成功返回0,反之为-1

函数原型:int open (const char* pathname, int flags, mode_t mode)
输入参数:pathname, 路径名;flags,O_RDONLY或O_WRONLY 或O_RDWR,分别对应着只读,只写,或读写操作;mode,使用许可说明
函数说明:打开文件或设备,返回一个文件描述符(读写中使用的非负整数)
返回值: 成功返回文件描述符,反之返回-1

函数原型:int read(int file, void *ptr, size_t len)
输入参数:file文件描述符;ptr为读数据的位置指针,len读数据的长度,单位为字节
函数说明:从文件或设备中读取数据块
返回值: 成功返回读取的字节数,反之返回-1

函数原型:clock_t times (struct tms *buf)
输入参数:buf结构体指针
函数说明:兼容newlib,tms的结构体指针如下:
type struct
{clock_t tms_utime;
clock_t tms_stime;
clock_t tms_cutime;
clock_t tms_sutime;
};
tms_utime: CPU索取用户指令的执行时间
tms_stime: CPU索取由系统表示的过程的执行时间
tms_cutime:所有子进程tms_utime和tms_cutime的时间之和
tms_sutime:所有子进程tms_stime和tms_sutime的时间之和
返回值: 返回时钟数,没有时钟则返回0

函数原型:int usleep (int us)
输入参数:us,单位为微秒
函数说明:直到us微秒后才解除阻塞,即其功能相当于延时us微秒
返回值: 成功返回0,反之为-1,有错误发生显示错误发生原因

函数原型:int wait(int *status)
输入参数: status 进程状态指针
函数说明:功能是等候所有子进程退出,由于HAL不支持分散子进程,函数立即返回
返回值: status内容清0,表明没有子进程;返回值为-1,且errno置为ECHILD, 表明没有子进程等候

函数原型:int write(int file, const void *ptr, size_t len)
输入参数:file文件描述符;ptr为读数据的位置指针,len读数据的长度,单位为字节
函数说明:往文件或设备写入数据块,
返回值: 成功返回写入的字节数,也可能少于请求的长度;反之返回-1,万一有错误发生,errno被设置为发生的原因

数据的标准类型
类型 说明
alt_8 符号8位整数
alt_u8 无符号8位整数
alt_16 符号16位整数
alt_u16 无符号16位整数
alt_32 符号32位整数
alt_u32 无符号32位整数

下面为自己整理
函数原型:int fopen (char * file_name, way_use);
输入参数:file_name文件名,way_use使用文件方式,比如r,w分别对应着读写
函数说明:打开文件,对其进行某种文件操作
返回值: 打不开则出错,返回一个空指针NULL

函数原型:int fclose (fp)
输入参数:fp的定义为:FILE *fp
函数说明:关闭文件fp
返回值: 成功返回0,反之为-1(EOF)


函数原型:int fread(void *ptr, int size, int count, FILE * fp);
输入参数:buffer为指针;是读入数据地存放地址;size读字节数;count读字节数地数目;fp文件型指针
函数说明:从一个流中读取数据
返回值: 成功返回值为count

函数原型:int fwrite(void *ptr, int size, int count, FILE *fp)
输入参数:buffer为指针;是读入数据地存放地址;size读字节数;count读字节数地数目;fp文件型指针,
函数说明:写内容到流中
返回值: 成功返回值为count

函数原型:int fprintf(FILE *fp, char *format[, argument,...]);
输入参数:fp文件型指针;format格式字符串;[, argument,...]输出列表,如:
fprintf(fp,“%d,%f”,i,t)
函数说明:传送格式化输出到一个流中
返回值: -

函数原型:int fscanf(FILE * fp, char *format[,argument...])
输入参数:fp文件型指针;format格式字符串;[, argument,...]输入列表,如:
fscanf(fp,“%d,%f”,i,t)
函数说明:从一个流中执行格式化输入
返回值: -

函数原型:int fputc(int ch, FILE *fp)
输入参数:ch字符;fp:文件型指针
函数说明:送一个字符到一个流中
返回值: 成功返回字符,反之返回-1(EOF)

函数原型:int fgetc(FILE *fp);
输入参数:fp:文件型指针
函数说明:从流中读取字符
返回值: 遇到文件结束返回-1(EOF)

函数原型:int putw(int w, FILE *fp)
输入参数:w: 字符或字; fp:文件型指针
函数说明:把一字符或字送到流中
返回值: -

函数原型:int getw(FILE *fp)
输入参数:fp:文件型指针
函数说明:从流中取一整数
返回值: -

函数原型:int rewind(FILE *fp)
输入参数:fp:文件型指针
函数说明:将文件指针重新指向一个流的开头
返回值: -

函数原型:int fseek(FILE *fp, long offset, int fromwhere);
输入参数:fp:文件型指针;offset:long型偏移量;fromwhere:起始点
起始点为0,1,2分别代表文件开始,当前位置,文件末尾
函数说明:重定位流上的文件指针
返回值: -

函数原型:int ferror(FILE *fp)
输入参数:fp:文件型指针
函数说明:检测流上的错误
返回值: 未出错返回值为0,反之为非0

函数原型:long ftell(FILE *fp)
输入参数:fp:文件型指针
函数说明:返回当前文件指针,得到当前位置
返回值: 返回值为-1表示出错,反之为非0

函数原型:void clearerr(FILE *fp)
输入参数:fp:文件型指针
函数说明:复位错误标志
返回值: 出错为非0,反之为0

函数原型:char *fgets(char *string, int n, FILE *fp)
输入参数:string:字符串指针;fp:文件型指针
函数说明:从流中读取一字符串,但只从文件输入n-1个字符,后一个为‘\0’结束标志位
返回值: -

函数原型:nt fputs(char *string, FILE *fp)
输入参数:string:字符串指针;fp:文件型指针
函数说明:送一个字符串到一个流中
返回值: -

函数原型:int feof(FILE *fp)
输入参数:fp:文件型指针
函数说明:检测流上的文件结束符
返回值: -

Nios II IDE Command Line Tools
Tool Descriptor
nios2-create-system-library 创建一个新系统库工程
nios2-create-application-project 创建一个C/C++应用库工程
nios2-build-project 使用Nios II IDE编译工程,创建或更新文件编写来编译工程,该操作工程必须是存在当前的Nios II IDE工作区间

nios2-import-project 导入一个以前创建的Nios II IDE工程到当前的工作区间
nios2-delete-project 从Nios II IDE工作区间删除工程


Altera Command-Line Tools
Tool Descriptor
nios2-download 为调试或运行下载代码到目标处理器
nios2-flash-programmer 编程数据到目标板的flash存储器上
nios2-gdb-server 通过TCP,用目标Nios II处理器把GNU调试器远程的串口协议分组翻译为共同测试行动小组(JTAG)的事务
nios2-terminal 用JTAG通用异步收发机(UART)执行终止Nios II系统里面的I/O
validate_zip 核实指定的zip文件是否兼容Altera只读zip文件系统


File Conversion Utilities
Utility Descriptor
bin2flash 为下载到flash存储器上,将二进制文件转换为.flash文件
elf2dat 为适应Verilog HDL硬件仿真,将.elf可执行文件格式转换为.dat文件格式
elf2flash 为下载到flash存储器上,将.elf可执行文件格式转换为.flash文件
elf2hex 将.elf可执行文件格式转换为Intel.hex文件格式
elf2mem 在指定的Nios II系统中为存储设备生成存储内容
elf2mif
将.elf可执行文件格式转换为Quartus II
内存初始化文件(.mif)格式
flash2dat
为适应Verilog HDL硬件仿真,将.flash可执行文件格式转换为.dat文件格式
mk-nios2-
signaltap-mnemonic-table 获得一个.elf文件和SOPC Builder 系统文件(.ptf),创建一个.stp包含Nios II子令集记忆表和Altera’s SignalTap? II logic分析仪符号的文件
sof2flash
为下载到flash存储器上,将FPGA配置文件(.sof)转换为.flash文件





Backward Compatibility Tools
Tool Descriptor
nios2-build 基于传统SDK库的编译和链接软件工程
nios2-run 下载程序到Nios II处理器,终止I/O的变成
nios2-debug
下载程序到Nios II处理器,启动洞察力的调试器
nios2-console
打开FS2命令行接口(CLI),连接到Nios II处理器

IORD_16DIRECT(BASE, OFFSET)
从地址位置为BASE+OFFSET的寄存器中直接读取16Bit的数据
IORD_8DIRECT(BASE, OFFSET)
从地址位置为BASE+OFFSET的寄存器中直接读取8Bit的数据

IOWR_32DIRECT(BASE, OFFSET, DATA)
往地址位置为BASE+OFFSET的寄存器中直接写入32Bit的数据

IOWR_16DIRECT(BASE, OFFSET, DATA)
往地址位置为BASE+OFFSET的寄存器中直接写入16Bit的数据
IOWR_8DIRECT(BASE, OFFSET, DATA)
往地址位置为BASE+OFFSET的寄存器中直接写入8Bit的数据
IORD(BASE, REGNUM)
从基地址为BASE的设备中读取偏移量为REGNUM的寄存器里面的值。寄存器的值在地址总线的范围之内。
IOWR(BASE, REGNUM, DATA)
BASE为基地址,往偏移量为REGNUM寄存器中写入数据。寄存器的值在地址总线的范围之内。
IORD_32DIRECT(BASE, OFFSET)
BASE为寄存器的基地址,OFFSET为寄存器的的偏移量。
从地址位置为BASE+OFFSET的寄存器中直接读取32Bit的数据
IORD_16DIRECT(BASE, OFFSET)
从地址位置为BASE+OFFSET的寄存器中直接读取16Bit的数据
IORD_8DIRECT(BASE, OFFSET)
从地址位置为BASE+OFFSET的寄存器中直接读取8Bit的数据

IOWR_32DIRECT(BASE, OFFSET, DATA)
往地址位置为BASE+OFFSET的寄存器中直接写入32Bit的数据

IOWR_16DIRECT(BASE, OFFSET, DATA)
往地址位置为BASE+OFFSET的寄存器中直接写入16Bit的数据
IOWR_8DIRECT(BASE, OFFSET, DATA)
往地址位置为BASE+OFFSET的寄存器中直接写入8Bit的数据

[em09][em09][em09][em09][em09][em09][em09][em09][em09][em09]

先写这么多吧,对于nios有兴趣的同学可以留言,我会尽力解答!

如果需要相关的资料的话,请留下你的邮箱地址和需要的相关内容.


[em09][em09][em09][em09][em09][em09][em09][em09][em09][em09][/replyview] [align=right][color=#000066][此贴子已经被作者于2007-5-8 15:51:26编辑过][/color][/align]



关键词: 原创     FPGA-     全面     接触     数据     寄存器     发送         

菜鸟
2007-04-26 05:44:00     打赏
2楼

多谢,学习了!

这么好的文章,竟然不是精华,还沉下去了,真是很奇怪啊!

强烈建议管理员加精!


工程师
2007-04-26 06:00:00     打赏
3楼

谢谢这位兄弟,我也觉得有点心寒啊,原创的文章竟然不受欢迎!

知音啊!

不过与大家交流才是最重要的啊


院士
2007-04-26 06:35:00     打赏
4楼
以下是引用叮叮当当在2007-4-25 22:00:00的发言:

谢谢这位兄弟,我也觉得有点心寒啊,原创的文章竟然不受欢迎!

知音啊!

不过与大家交流才是最重要的啊

不是总没人注意的~~~


院士
2007-04-26 06:36:00     打赏
5楼
以下是引用FPGAer在2007-4-25 21:44:00的发言:

多谢,学习了!

这么好的文章,竟然不是精华,还沉下去了,真是很奇怪啊!

强烈建议管理员加精!

满足愿望~~


工程师
2007-04-27 06:00:00     打赏
6楼
[em01][em01][em01][em01]

菜鸟
2007-04-30 04:35:00     打赏
7楼
可以拿来好好看看谢谢了

菜鸟
2007-05-21 04:46:00     打赏
8楼
ddddddddddddddddddddddddddddd

菜鸟
2007-05-21 04:54:00     打赏
9楼

顶一下,千古奇帖,能把你关于nios的所有资料发一分给我么,我的邮箱是:elite@ctgu.edu.cn,最好有关于定制avalon外设的有关资料,谢谢!谢谢!


菜鸟
2007-05-21 04:56:00     打赏
10楼
对了,有qq么,这样好请教啊!

共31条 1/4 1 2 3 4 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]