这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Modelsim se中创建altera的仿真库

共3条 1/1 1 跳转至

Modelsim se中创建altera的仿真库

工程师
2007-04-25 22:55:19     打赏

1. 路径选择
启动modelsim se仿真工具,在主窗口中选择【file】→【change directory】命令,将工作目录改变到你想存放仿真库的目录,点击【ok】.


2. 创建仿真库
在生窗口中选择【file】→【new】→【library】命令,在弹出的【create a new library】窗口中将选项【create】设置为【a new library and a logical mapping to it】,在【libryr name】和【library physical name】中键入所要创建库名字,如altera_library, ,此时在主窗口中已多了一个altera_library(empty)项; 注:这个过程实质上想当于在modelsim主窗口中的脚本区域中输入了vlib和vmap命令.


3. 编译库
在workspace中的library中选中你健入的库名altera_library(empty),在主菜单中选【compile】→【compile】命令;在弹出窗口compile source file窗口中的【libaray】下拉菜单中选中你的库名,在【查找范围】中选择quarturs安装目录\quarturs\eda\sim_lib文件夹下,先编译220pack,再编译altera_mf_componenta.vhd,然后编译其它6个文件, 点击【done】


4. 配制modelsim
将modelsim根目录下的配制文件modelsim.ini的属性只读改为可写,这可使软件记录仿真库的路径以及映射关系,以后每次启动modelsim时,就会根据ini文件中的本身寻找仿真库,并且形成映射关系, 注:如果启动时出象”仿真库名(unavailable)”可选中它,点右键选择【edit】指定路径; 到些仿真库已创建,以后对altera设计仿真都不需要做库处理了;

5. 后仿真配制
如果要做后仿真;就要把你用的系列库和quartursii生成文件一起编译即可,例如你用的是altera的max7000比利时列,就要加quartursii安装目录\quarturs\eda\sim_lib下的max_atoms;vmax_atoms.vhd;max_components.vhd加这三个文件一起编译; 注:在做仿真前建project时,在项目窗口default library name项中键入quartursii生成仿真文件的默认名,如max7000系列默认名max。

[em06][em06]



关键词: Modelsim     创建     altera     仿真    

菜鸟
2012-05-25 11:30:08     打赏
2楼
顶一个

菜鸟
2012-06-02 14:15:57     打赏
3楼
关心一下,下次用!

共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]