这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Altera FPGA、CPLD 设计学习笔记(z)

共5条 1/1 1 跳转至

Altera FPGA、CPLD 设计学习笔记(z)

高工
2008-07-15 17:46:36     打赏

硬件设计基本原则

1) 速度与面积平衡和互换原则:一个设计如果时序余量较大,所能跑的频率远高于设计要求,能可以通过模块复用来减少整个设计消耗的芯片面积,这就是用速度优势换面积的节约;反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么可以通过数据流串并转换,并行复制多个操作模块,对整个设计采用“乒乓操作”和“串并转换”的思想进行处理,在芯片输出模块处再对数据进行“并串转换”。从而实现了用面积复制换取速度的提高。
 2) 硬件原则:理解HDL本质
3) 系统原则:整体把握
4) 同步设计原则:设计时序稳定的基本原则

2.Verilog作为一种HDL语言,对系统行为的建模方式是分层次的。比较重要的层次有系统级(system)、算法级(Algorithm)、寄存器传输级(RTL)、逻辑级(Logic)、门级(Gate)、电路开关级(Switch)。

3.实际工作中,除了描述仿真测试激励(Testbench)时使用for循环语句外,极少在RTL级编码中使用for循环,这是因为for循环会被综合器展开为所有变量情况的执行语句,每个变量独立占用寄存器资源,不能有效的复用硬件逻辑资源,造成巨大的浪费。一般常用Case代替。

4.If…else…和case在嵌套描述时是有很大区别的,If…else…是有优先级的,一般来说,第一个If的优先级最高,最后一个else的优先级最低。而case语句是平行语句,它是没有优先级的,而建立优先级结构需要耗费大量的逻辑资源,所以能用case的地方就不要用if…else…语句。补充:1.也可以用if….; if…; if…;描述不带优先级的“平行”语句。

5.FPGA一般触发器资源比较丰富,而CPLD组合逻辑资源更丰富。

6. FPGA和CPLD的组成 FPGA基本有可编程输入/输出单元、基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元和内嵌专用硬核等6部分组成。 CPLD的结构相对比较简单,主要由可编程I/O单元、基本逻辑单元、布线池和其他辅助功能模块组成。

7.Block RAM: 3种块RAM结构,M512 RAM(512bit)、M4K RAM(4Kbit)、M-RAM(64Kbit). M512 RAM:适合做一些小的Buffer、FIFO、DPRAM、SPRAM、ROM等; M4K RAM: 适用于一般的需求 M-RAM: 适合做大块数据的缓冲区。 Xlinx 和 Lattice FPGA的LUT可以灵活配置成小的RAM、ROM、FIFO等存储结构,这种技术被称为分布式RAM。

8.善用芯片内部的PLL或DLL资源完成时钟的分频、倍频率、移相等操作,不仅简化了设计,并且能有效地提高系统的精度和工作稳定性。
9.异步电路和同步时序电路的区别异步电路: 电路核心逻辑有用组合电路实现 异步时序电路的最大缺点是容易产生毛刺。 不利于器件移植 不利于静态时序分析(STA)、验证设计时序性能。同步时序电路: 电路核心逻辑是用各种触发器实现 电路主要信号、输出信号等都是在某个时钟沿驱动触发器产生的 同步时序电路可以很好的避免毛刺 利于器件移植利于静态时序分析(STA)、验证设计时序性能。

10.同步设计中,稳定可靠的数据采样必须遵从以下两个基本原则:
1) 在有效时钟沿到达前,数据输入至少已经稳定了采样寄存器的Setup时间之久,这条原则简称满足Setup时间原则;
2) 在有效时钟沿到达后,数据输入至少还将稳定保持采样寄存器的Hold时钟之旧,这条原则简称满足Hold时间原则。

11.同步时序设计注意事项:异步时钟域的数据转换。组合逻辑电路的设计方法。同步时序电路的时钟设计。同步时序电路的延迟。同步时序电路的延迟最常用的设计方法是用分频或者倍频的时钟或者同步计数器完成所需的延迟,对比较大的和特殊定时要求的延时,一般用高速时钟产生一个计数器,根据计数产生延迟;对于比较小的延迟,可以用D触发器打一下,这样不仅可以使信号延时了一个时钟周期,而且完成了信号与时钟的初次同步。在输入信号采样和增加时序约束余量中使用。另外,还有用行为级方法描述延迟,如“#5 a<=4’0101;”这种常用于仿真测试激励,但是在电路综合时会被忽略,并不能起到延迟作用。 Verilog 定义的reg型,不一定综合成寄存器。

在Verilog代码中最常用的两种数据类型是wire和reg型,一般来说,wire型指定的数据和网线通过组合逻辑实现,而reg型指定的数据不一定就是用寄存器实现,如下例就是个纯组合逻辑设计,综合与实现结果没有使用FF。 module Reg_c( Reset,cs,Din,Dout,Addr) input Reset; input cs; input [7:1] Din; input [1:0] Addr; output [1:0] Dout; reg [1:0] Dout always @(Reset or cs or Addr or Din) if(Reset) Dout = 0; else if(!cs) begin case(Addr) 2'b00: Dout = Addr[1:0]; 2'b01: Dout = Addr[3:2]; 2'b10: Dout = Addr[5:4] default: Dout = Addr[7:6] endcase end else Dout = 2'bzz; Endmodule

12.常用设计思想与技巧 乒乓操作(P27) 串并转换 流水线操作异步时钟域数据同步。是指如何在两个时钟不同步的数据域之间可靠地进行数据交换的问题。数据时钟域不同步主要有两种情况:两个域的时钟频率相同,但是相差不固定,或者相差固定但是不可测,简称为同频异相问题。两个时钟频率根本不同,简称异频问题。

13.两种不推荐的异步时钟域操作方法:一种是通过增加Buffer或者其他门延时来调整采样;另一种是盲目使用时钟正负沿调整数据采样。

14.异步始终域数据同步常用方法: (1).同频异相问题解决 P31

15.模块划分基本原则:
1) 对每个同步时序设计的子模块的输出使用寄存器(用寄存器分割同步时序模块原则)。
2) 将相关逻辑和可以复用的逻辑划分在同一模块内(呼应系统原则)。
3) 将不同优化目标的逻辑分开。
4) 将送约束的逻辑归到同一模块。
5) 将存储逻辑独立划分成模块。
6) 合适的模块规模。

16.组合逻辑的注意事项

1.避免组合逻辑反馈环路(容易毛刺、振荡、时序违规等)解决: a.牢记任何反馈回路必须包含寄存器 b.检查综合、实现报告的warning信息,发现反馈回路(combinational loops)后进行相应修改。

2.替换延迟链解决:用倍频、分频或者同步计数器完成。

3.替换异步脉冲产生单元(毛刺生成器)解决:用同步时序设计脉冲电路

4.慎用锁存器解决:
a.使用完备的if…else语句
b.检查设计中是否喊有组合逻辑反馈环路
c.对每个输入条件,设计输出操作,对case语句设置default操作。特别是在状态机设计中,最好有一个default的状态转移,而且每个状态最好也有一个default的操作。
d.如果使用case语句时,特别是在设计状态机时,尽量附加综合约束属性,综合为完全条件case语句。

小技巧:仔细检查综合器的综合报告,目前大多数的综合器对所综合出的latch都会报“warning”,通过综合报告可以较为方便地找出无意中生成的latch。

17.时钟设计的注意事项
同步时序电路推荐的时钟设计方法 时钟经全局时钟输入引脚输入,通过FPGA内部专用的PLL或DLL进行分频/倍频、移相等调整与运算,然后经FPGA内部全局时钟布线资源驱动到达芯片内所有寄存器和其他模块的时钟输入端。




关键词: Altera     设计     学习     笔记     原则     时序     模块         

菜鸟
2008-08-28 11:57:14     打赏
2楼
写的很好,受益了。谢谢!

菜鸟
2008-09-01 22:44:18     打赏
3楼

学了一段时间FPGA了,感觉经验很重要,谢谢LZ


菜鸟
2008-09-08 09:17:16     打赏
4楼

不错,小有收获````


高工
2020-04-12 14:59:37     打赏
5楼

多谢楼主分享


共5条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]