这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 格雷码计数器的Verilog描述(原创)

共14条 1/2 1 2 跳转至

格雷码计数器的Verilog描述(原创)

高工
2009-07-09 22:26:29     打赏


格雷码计数器的Verilog描述

一、格雷码介绍(转载)

       在数字系统中只能识别01,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。格雷码属于可靠性编码,是一种错误最小化的编码方式,因为,自然二进制码可以直接由数/模转换器转换成模拟信号,但某些情况,例如从十进制的3转换成4时二进制码的每一位都要变,使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它是一种数字排序系统,其中的所有相邻整数在它们的数字表示中只有一个数字不同。它在任意两个相邻的数之间转换时,只有一个数位发生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。另外由于最大数与最小数之间也仅一个数不同,故通常又叫格雷反射码或循环码。下表为几种自然二进制码与格雷码的对照表:

┌────┬──────┬───┬────┬──────┬────┐

│十进制数│自然二进制数│格雷码│十进制数│自然二进制数│ 格雷码

├────┼──────┼───┼────┼──────┼────┤

0       0000        0000  8       1000        1100   

├────┼──────┼───┼────┼──────┼────┤

1       0001        0001  9       1001        1101   

├────┼──────┼───┼────┼──────┼────┤

2       0010        0011  10      1010        1111   

├────┼──────┼───┼────┼──────┼────┤

3       0011        0010  11      1011        1110   

├────┼──────┼───┼────┼──────┼────┤

4       0100        0110  12      1100        1010   

├────┼──────┼───┼────┼──────┼────┤

5       0101        0111  13      1101        1011   

├────┼──────┼───┼────┼──────┼────┤

6       0110        0101  14      1110        1001   

├────┼──────┼───┼────┼──────┼────┤

7       0111        0100  15      1111        1000   

└────┴──────┴───┴────┴──────┴────┘

 

一般的,普通二进制码与格雷码可以按以下方法互相转换:

二进制码-->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0)

格雷码--〉二进制码(解码):从左边第二位起,将每位与左边一位解码后的值异或,作为该位解码后的值(最左边一位依然不变).

数学(计算机)描述:

原码:p[0~n];格雷码:c[0~n](nN);编码:c=G(p);解码:p=F(c);书写时从左向右标号依次减小.

编码:c=p XOR p[i+1](iN,0in-1)c[n]=p[n]

解码:p[n]=c[n]p=c XOR p[i+1](iN,0in-1).

 

Gray Code是由贝尔实验室的Frank Gray20世纪40年代提出的(是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的),用来在使用PCMPusle Code Modulation)方法传送讯号时避免出错,并于1953317日取得美国专利。由定义可知,Gray Code的编码方式不是唯一的,这里讨论的是最常用的一种。

 

二、格雷码计数器算法(原创)

       格雷码计数器的关键在于根据当前码计算出下一个码,也就是计算出当前哪个位需要取反。

此处给出Matlab的一种算法:

function NxG = fNextGray( CurG, N )

B(1) = CurG(1);

for k = 2 : N

   B(k) = xor( CurG(k), B(k-1));

end

 C = CurG;

for k = N : -1 : 1

   if B(k) == 0 | k == 1

      C(k) = not( C(k));

      break

   end

end

NxG = C;

 

三、8bits格雷码计数器的Verilog描述(原创)

       module GrayCnt( Clk, nRst, CntOut );

 

input Clk, nRst;

output [7:0] CntOut;

 

reg [7:0]   CntOut;

reg [7:0]   NextCnt;

 

always @(posedge Clk)

begin

   if (~nRst)

      CntOut <= 8'b0000_0000;

   else

      CntOut <= NextCnt;   

end

 

reg [7:0]   tmpCnt;

integer k;

 

always @( CntOut )

begin

    tmpCnt[7] = CntOut[7];

   

    for( k=6; k>=0; k=k-1 )

       tmpCnt[k] = CntOut[k] ^ tmpCnt[k+1];

 

   

    if( tmpCnt[0]==1'b0 )

    begin

        NextCnt[0] = ~CntOut[0];

        NextCnt[7:1] = CntOut[7:1];

    end

    else if( tmpCnt[1]==1'b0 )

    begin

        NextCnt[0] = CntOut[0];

        NextCnt[1] = ~CntOut[1];

        NextCnt[7:2] = CntOut[7:2];

    end

    else if( tmpCnt[2]==1'b0 )

    begin

        NextCnt[1:0] = CntOut[1:0];

        NextCnt[2] = ~CntOut[2];

        NextCnt[7:3] = CntOut[7:3];

    end

    else if( tmpCnt[3]==1'b0 )

    begin

        NextCnt[2:0] = CntOut[2:0];

        NextCnt[3] = ~CntOut[3];

        NextCnt[7:4] = CntOut[7:4];

    end

    else if( tmpCnt[4]==1'b0 )

    begin

        NextCnt[3:0] = CntOut[3:0];

        NextCnt[4] = ~CntOut[4];

        NextCnt[7:5] = CntOut[7:5];

    end

    else if( tmpCnt[5]==1'b0 )

    begin

        NextCnt[4:0] = CntOut[4:0];

        NextCnt[5] = ~CntOut[5];

        NextCnt[7:6] = CntOut[7:6];

    end

    else if( tmpCnt[6]==1'b0 )

    begin

        NextCnt[5:0] = CntOut[5:0];

        NextCnt[6] = ~CntOut[6];

        NextCnt[7] = CntOut[7];

    end

    else

    begin

        NextCnt[6:0] = CntOut[6:0];

        NextCnt[7] = ~CntOut[7];

    end

end

 

endmodule

 

综合结果为(Synplify Pro 9.6.2):

 

仿真结果为(Modelsim 6.2b):

 

 

附件中包括了完整的Matlba仿真程序和8bits格雷码计数器的ISE工程, 计数器输出保存在GrayOut.txt中,然后读入Matlab校验。有问题欢迎与我交流,zldpublic@gmai.com

同时欢迎光临我的博客:http://jasonzhang.spaces.eepw.com.cn/

GrayCnt.rar




关键词: 格雷     计数器     Verilog     描述     原创     CntO    

高工
2009-07-09 22:29:48     打赏
2楼
271303204的要求,写了一个8位的格雷码计数器。把东西整理了一下,重新发表在这里,希望更多人看到。有什么疑问的,请留言交流。

助工
2009-07-10 11:00:39     打赏
3楼
先谢谢Jason_zhang。其中有方法是先计数采用二进制计数,但输出时,采用二进制转格雷码的方法,违背了采用格雷码计数器的初衷....

高工
2009-07-10 13:27:49     打赏
4楼

那就不是格雷码计数器,而是二进制转格雷码了,这是另一个东西。

不用客气。希望附件中的程序对你有帮助。有什么疑问欢迎留言。


菜鸟
2009-07-15 07:32:24     打赏
5楼
不错借鉴一下

高工
2009-07-15 15:15:55     打赏
6楼

这只是其中的一种方法,应该还有更好的方法,共同探讨。


助工
2009-07-16 10:32:17     打赏
7楼
试了一下,但是在200M时钟下,工作不稳定,好像还不如二进制计数器

助工
2009-07-16 10:39:47     打赏
8楼
不是仿真,而是实际应用中的表现,仿真是没有问题的

高工
2009-07-16 12:11:10     打赏
9楼
逻辑仿真未考虑器件的延时,当然没问题了。
格雷码计数器的逻辑结构较二进制计数器复杂,所以它的延时也大,这是可以理解的。格雷码计数器的优势在于输出没有毛刺,因为它只有一个寄存器在变化。而二进制计数器有多个寄存器翻转,翻转不可能完全同步,因此出现了毛刺。

你用的FPGA是哪个型号?200M时钟相对组合逻辑来说已近算快的了。

助工
2009-07-17 14:01:11     打赏
10楼

用的是cycloneII 的,有没有办法试格雷码计数器的速度更快呢?


共14条 1/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]