这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 利用 Verilog 来创建 CPLD 设计

共1条 1/1 1 跳转至

利用 Verilog 来创建 CPLD 设计

高工
2012-05-07 19:23:52     打赏

本应用指南对如何将 Verilog 应用到复杂可编程逻辑器件的基本原理进行了阐述。 提供了各种组合逻辑电路实例,如多路复用器、解码器、编码器、比较器和加法器。 还提供了同步逻辑电路实例,如计数器和状态机。

xapp143.pdf




关键词: 利用     Verilog     创建     设计    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]