这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 利用CoolRunner-II将计数器/定时器的分辨率加倍

共1条 1/1 1 跳转至

利用CoolRunner-II将计数器/定时器的分辨率加倍

高工
2012-05-11 21:31:23     打赏

本应用指南介绍了使用CoolRunner™-II使计数器与定时器应用的频率分辨率加倍的方法。

xapp910.pdf




关键词: 利用     CoolRunner-II     计数器     定时器         

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]