这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » FPGA开发板申请

共3条 1/1 1 跳转至

FPGA开发板申请

助工
2012-09-09 09:06:03     打赏
我选择方案1:申请核心板和外围板PCB,自己购买元器件焊接
实验名称:
基于FPGA电梯控制系统的设计
实验目的:
设计一个6层电梯控制器。电梯控制器是按照乘客的要求自动上、下的装置。
1、每层电梯入口处设置上下请求开关,电梯内设有顾客到达层次的停站请求开关。
2、设有电梯所处位置指示装置以及电梯运行模式(上升或者下降)指示装置。
3、电梯每秒升降一层楼。
4、电梯到达有停站请求的楼层,经过1秒电梯门打开,开门4秒后,电梯门关闭(开门指示灯灭),电梯继续运行,直至执行完最后一个请求信号后停留在当前层。
5、电梯能记忆电梯内外所有请求信号,并按照电梯运行规则按顺序响应,每个请求信号保留至有电梯响应后消除。
6、电梯运行规则:当电梯上升时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕;
如果高层有下楼请求,则直接升到下楼请求的最高楼层,然后进入下降模式。当电梯处于下降模式时与上升正好相反



关键词: 开发     申请     电梯     请求    

高工
2012-09-09 09:56:03     打赏
2楼
有点意思,现在电梯控制器一般都用PLC吧,人命关天啊

助工
2012-09-09 10:10:40     打赏
3楼

额。。。。。。


共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]