这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 物联网技术 » QQ群关于“component语句”问题的总结

共3条 1/1 1 跳转至

QQ群关于“component语句”问题的总结

菜鸟
2012-11-14 11:04:24     打赏
component是在构造体的结构描述中,是基本的描述语句,该语句指定了本构造体中所调用的是哪一个县城的逻辑描述模块。 

格式如下: component 元件名 is ---有些地方貌似这个is不要,我查的资料上有的有有的没有,xilinx公司的开发板的例程里没有is的。不知道大家怎么看?也希望大家能解答一下 generic 说明;---参数说明 port 说明;------端口说明 end component 元件名; component语句可以再architecture(构造体) package(程序包) 及 block(块)的说明部分使用。 我在与非网找到一个比较好的资料,是关于这语句的讲解,还有例子http://www.****.com/article/10-03/94412s.html 

这里非常感谢 zhrscut(1196404588) 能在第一时间给我解答,尽管回答不是很全面!至少是给了一点提示,再次就是感谢 梦之旅(276832308) 的回答,再次肯定了zhrscut的回答! 

提问者:gxl7998 回答者:zhrscut 梦之旅



关键词: 关于     component     语句     题的     总结    

院士
2012-11-14 15:43:17     打赏
2楼
咱敢弄点格式不?

院士
2012-11-14 16:32:32     打赏
3楼
不管怎样,还是奖励一下

共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]