几经周折,刚开始时自己从官网买了核心板PCB、底板PCB和材料,自己焊接,结果板子没阻焊层,FPGA焊接有点问题,后面重新买了一块完整的核心板,其实自己焊接技术还是不错的,底板焊接的质量没什么问题,可能是因为以前很少焊接Altera的FPGA,并且又恰好碰上板子没阻焊层,所以导致核心板焊接失误!!
年前工作比较忙,板子到手了也没时间弄,这才有时间弄下啊,LED灯点亮还是没什么压力的,因为自己学过VHDL语言,虽然这次点亮用的是Verilog VHDL语言,程序也是参考了教程,我想有时间的话自己来写VHDL的程序,毕竟这个点亮LED灯的程序比较简单。今晚花了2个小时,第一次用quartus12的软件(以前用的quartus5,今天发现这两个版本的差距太大了),从软件破解、程序编译通过,到成功下载并一次性点亮LED,觉得还是蛮开心的,今天晚了,明天上图,大家晚安~呵呵!!!
最近有点不顺,电脑出问题了,昨天才弄好,现在将图补上,谢谢!
对应的Verilog 和VHDL程序分别如下:
Verilog程序1:
module led1 (led1);
output [7:0] led1;
always
begin
led1=8'b10101010;
endmodule
Verilog程序2:
module led1 (led1);
output [7:0] led1;
assign led1=8'b10101010;
endmodule
VHDL程序:
library ieee;
use ieee.std_logic_1164.all;
entity led1 is
port (led_out:out std_logic_vector(0 to 7));
end led1;
architecture bhv of led1 is
begin
led_out<="10101010";
end bhv;
这是本人亲自验证过的程序,虽然是很简单的程序,但是欢迎大家来找茬! 以后有时间会慢慢将这次活动所有的程序都上传,哈哈,谢谢各位踩贴的!