这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 点亮LED灯--版主见谅,前段时间太忙现在才开始!

共9条 1/1 1 跳转至

点亮LED灯--版主见谅,前段时间太忙现在才开始!

助工
2013-01-26 03:09:29     打赏

        几经周折,刚开始时自己从官网买了核心板PCB、底板PCB和材料,自己焊接,结果板子没阻焊层,FPGA焊接有点问题,后面重新买了一块完整的核心板,其实自己焊接技术还是不错的,底板焊接的质量没什么问题,可能是因为以前很少焊接Altera的FPGA,并且又恰好碰上板子没阻焊层,所以导致核心板焊接失误!!
        年前工作比较忙,板子到手了也没时间弄,这才有时间弄下啊,LED灯点亮还是没什么压力的,因为自己学过VHDL语言,虽然这次点亮用的是Verilog VHDL语言,程序也是参考了教程,我想有时间的话自己来写VHDL的程序,毕竟这个点亮LED灯的程序比较简单。今晚花了2个小时,第一次用quartus12的软件(以前用的quartus5,今天发现这两个版本的差距太大了),从软件破解、程序编译通过,到成功下载并一次性点亮LED,觉得还是蛮开心的,今天晚了,明天上图,大家晚安~呵呵!!!

 

最近有点不顺,电脑出问题了,昨天才弄好,现在将图补上,谢谢!

1357

亮1234号灯亮5678号灯亮1357号灯 

 

对应的Verilog 和VHDL程序分别如下:

Verilog程序1:

module led1 (led1);

output [7:0] led1;

always

      begin

            led1=8'b10101010;

    endmodule

 

Verilog程序2:

module led1 (led1);

output [7:0] led1;

    assign led1=8'b10101010;

endmodule

 

VHDL程序:

library ieee;

use ieee.std_logic_1164.all;

 

entity led1 is

port (led_out:out std_logic_vector(0 to 7));

end led1;

architecture bhv of led1 is

    begin

        led_out<="10101010";

    end bhv;

 

     这是本人亲自验证过的程序,虽然是很简单的程序,但是欢迎大家来找茬! 以后有时间会慢慢将这次活动所有的程序都上传,哈哈,谢谢各位踩贴的!

 

 

 

 

 




关键词: 点亮     --版     见谅     前段时间     太忙     现在     开始         

高工
2013-01-26 07:48:11     打赏
2楼
kankan!

高工
2013-01-26 19:34:12     打赏
3楼
钱没白花……

院士
2013-01-26 21:58:10     打赏
4楼
睡得够晚~~~~

专家
2013-02-02 09:46:29     打赏
5楼
图片呢??看一下!        

助工
2013-02-04 23:36:21     打赏
6楼
真的有点觉得花钱买了罪受的感觉.....呵呵~

院士
2013-02-18 10:56:30     打赏
7楼
那说明自己没有安排好时间

助工
2013-02-20 22:29:34     打赏
8楼

白天工作性质为硬件工程师,晚上回来是FPGA菜鸟...伤不起啊!!!


助工
2013-02-20 23:39:58     打赏
9楼
白天工作性质为硬件工程师,晚上回来是FPGA菜鸟...伤不起啊!!!

共9条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]