这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 仿真在FPGA设计中是不是必要的一个环节?

共4条 1/1 1 跳转至

仿真在FPGA设计中是不是必要的一个环节?

菜鸟
2014-04-29 21:05:48     打赏
仿真在FPGA设计中是不是必须的环节,直接板级调试是不是可以跳过仿真?



关键词: 仿真     FPGA    

菜鸟
2014-04-29 21:16:12     打赏
2楼

板级验证综合布线下载单次周期长;

代码中有问题,有可能会损坏器件;

加入debug或者chipscope会影响设计本身的时序;

 

总之,你对自己代码足够自信,就上板调吧。

否则还是先仿真个吧,仿真没那么麻烦。


菜鸟
2014-04-29 21:17:28     打赏
3楼
3Q

菜鸟
2014-04-29 21:30:23     打赏
4楼
功能仿真是很有必要的。

共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]