这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 怎么样用verilog产生两个相位差为90度的脉冲信号?

共7条 1/1 1 跳转至

怎么样用verilog产生两个相位差为90度的脉冲信号?

菜鸟
2014-06-24 20:02:09     打赏
求教,怎么样把一个脉冲信号变为两个相位差为90度的脉冲信号?注:输入脉冲信号频率不确定。



关键词: 产生     两个     相位差     90度     脉冲     信号    

高工
2014-06-25 10:12:01     打赏
2楼
你已经指定是脉冲信号了,那不能差90度了,脉冲信号尖峰的,一般有相位差的信号指正旋信号或差分的矩形信号。

高工
2014-06-25 10:41:28     打赏
3楼

在电路上做两个相位差90度的比较麻烦。


菜鸟
2014-06-26 11:15:17     打赏
4楼
周期性的非连续信号,不应该都叫做脉冲信号吗?

高工
2014-06-27 11:27:55     打赏
5楼
不理论什么是脉冲信号。不知道你的波形什么样子。 产生两路正交的信号,你可找下锁相环电路。比较方便的由一路信号产生两个正交的信号。不过还是要看你的是什么波形,产生的正交信号合不合你用。 不会verilog,如果和可编程器件产成的话,先用比较器或用检波的方法检测到这个脉冲,然后用两个IO触发后面的波形生成器,这两个IO的触发时间要差90度。后面再接一级波形合成电路。

菜鸟
2014-06-30 17:50:22     打赏
6楼

1,计算周期,

2,由周期推算相移所需的延迟时间;

3,将输入信号延迟后,就是你想要的相移信号了。


菜鸟
2014-07-07 17:15:17     打赏
7楼
FPGA内部锁相环可以帮你

共7条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]