这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 时钟分频问题

共2条 1/1 1 跳转至

时钟分频问题

助工
2014-11-05 09:53:12     打赏
大家好,请问 Warning: Node: clkDiv:al422clk|cnt[4] was determined to be a clock but was found without an associated clock assignment.这个警告怎么消除啊? 我是用全局时钟分频出来一个时钟

工程师
2014-11-05 10:02:30     打赏
2楼
检测出来这是一个时钟信号,但是没有声明说它是时钟信号,,,你可以加个BUFG吧,或者直接忽略

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]