这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 看了老师的FPGA网上免费培训的IP核之单口RAM,自己写的testbench不

共10条 1/1 1 跳转至

看了老师的FPGA网上免费培训的IP核之单口RAM,自己写的testbench不出波形,小弟求助啊!

菜鸟
2014-11-17 19:16:58     打赏

源代码:

`timescale 1 ns/ 1 ps
module M4K_ram (clk,rst,data_out);


input clk,rst;
output[3:0] data_out;


reg[3:0]  data_in;
reg[4:0]  addr_cnt;
reg wren;


always @(posedge clk or negedge rst)
begin
    if (!rst) 
  addr_cnt <= 0;
else 
  addr_cnt <= addr_cnt+1'b1;
end


always @(posedge clk or negedge rst)
begin
    if (!rst)
  wren <= 1'b1;
else if (addr_cnt == 5'd31)
  wren <= ~wren;
end

always @(posedge clk or negedge rst)
begin
    if (!rst)
  data_in <= 0;
else if (addr_cnt == 5'd31 && ~wren)
  data_in <= data_in + 1'b1;
end


ram ram_inst ( .address (addr_cnt),
             .clock (clk),
             .data (data_in),
             .wren (wren),
             .q (data_out)  );
endmodule


testbench为:

`timescale 1 ns/ 1 ps
module M4K_ram_vlg_tst();


reg clk;
reg rst;                                           
wire [3:0]  data_out;                         
M4K_ram i1 (
.clk(clk),
.data_out(data_out),
.rst(rst)
);
initial  begin                                               
         clk=0;  
         forever
         #10 clk= ~clk;                      
end  
initial  begin                                               
         rst=0;
     #1000;
         rst=1;
         #20000;
         $stop;
end                                                 
always                                                            
begin                                                  
                                          
end                                                    
endmodule
谢谢!


菜鸟
2014-11-18 10:40:56     打赏
2楼
引脚配置对了没有啊?

菜鸟
2014-11-18 10:41:11     打赏
3楼
先仿真,再下载

专家
2014-11-18 11:04:05     打赏
4楼
你看看modelsim仿真时候data_out和data_in 这两个值有变化吗??是不是符合你自己的逻辑功能>?modelsim就是用来仿真验证自己的功能的,所以,你要会自己看仿真,发现问题,再到解决问题

高工
2014-11-18 11:06:30     打赏
5楼
那个RAM是联合仿真的,你看看视频对比下自己的设置步骤,是否正确

菜鸟
2014-11-19 14:22:04     打赏
6楼

就像下图啊,为啥连时钟信号都没有呢



菜鸟
2014-11-19 14:24:25     打赏
7楼
这个仿真不用配置硬件的引脚把

菜鸟
2014-11-19 14:25:18     打赏
8楼
看了视频Ram的配置是没问题的啊

菜鸟
2014-12-15 14:21:26     打赏
9楼

我用ip核的pll也是出现相同的问题,你现在解决了吗??


菜鸟
2015-03-16 11:17:31     打赏
10楼
你点没点那个run啊 点了才会有波形

共10条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]