这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 循环灯控制器

共2条 1/1 1 跳转至

循环灯控制器

菜鸟
2017-06-29 15:31:52     打赏
设计一个循环灯控制器,该控制器控制红、绿、黄三个发光管循环发亮。要求红发光管亮2秒,绿发光管亮3秒,黄发光管亮1秒。(假设外部提供频率为1MHz的方波信号)
  1. library ieee;  
  2. use ieee.std_logic_1164.all;  
  3.   
  4. entity loopled_tb_vhd is    
  5. end loopled_tb_vhd;  
  6.   
  7. architecture rtl of loopled_tb_vhd is  
  8.   component loopled  
  9.     port(  
  10.        CLK,CLR:IN STD_LOGIC;  
  11.        Red,Green,Yellow:OUT STD_LOGIC  
  12.       );  
  13.   end component;  
  14.   
  15.   signal clr  :std_logic:='0';  
  16.   signal clk  :std_logic:='0';  
  17.   signal Red  :std_logic;  
  18.   signal Green  :std_logic;  
  19.   signal Yellow  :std_logic;  
  20.   
  21.   begin  
  22.     uut:loopled port map  
  23.     (  
  24.       clk=>clk,clr=>clr,Red=>Red,Green=>Green,Yellow=>Yellow  
  25.       );  
  26.   --clk_gen:process  
  27.   --begin    
  28.    -- clk <= '1' AFTER 0 ns;   
  29.     clr <='1' AFTER 0 ns,  
  30.   
  31.          '0' AFTER 2 ns;  
  32.            
  33.   tb:PROCESS  
  34.   BEGIN  
  35.     clk<='0';  
  36.     LOOP  
  37.         clk<='1','0' AFTER 1 ns;  
  38.         wait for 2 ns;  
  39.     END LOOP;  
  40. END PROCESS;  
  41.       
  42.    
  43. end rtl;  


高工
2020-12-27 11:37:11     打赏
2楼

谢谢分享


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]