这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Verilog发展历史

共4条 1/1 1 跳转至

Verilog发展历史

高工
2022-02-14 10:48:05     打赏

跟其他很多语言一样,如今的硬件描述语言并非是一天就发展起来的,都会有一个过程。而在这一过程中因为不断完善而衍生出不同的标准,我觉得在学习verilog语法的时候这也是我们学习时候应该需要了解的。就是因为标准不同,所以我们会看到很多不同风格的设计,不同公司企业有不同的要求,其实都是正确的。


1983年末,Gateway设计自动化公司的工程师创立了Verilogs。当时Gateway设计自动化公司还叫做自动集成设计系统(Automated Integrated Design Systems),1985年公司将名字改為Gateway设计自动化。该公司的菲尔·莫比(PhilMoorby)完成了Verilog的主要设计工作。1990年,Gateway设计自动化被Cadence 公司收购。


1990年代初,开放Verilog国际(Open Verilog International, OVI)组织(即现在的Accellera)成立,Verilog面向公有领域开放。1992年,该组织寻求将Verilog纳入电气电子工程师学会标准 。最终,Verilog成为了电气电子工程师学会1364-1995标准,即通常所说的Verilog-95。


 设计人员在使用这个版本的Verilog的过程中发现了一些可改进之处。为了解决用户在使用此版本Verilog过程中反映的问题,Verilog进行了修正和扩展,这部分内容后来再次被提交给电气电子工程师学会。这个扩展后的版本后来成为了电气电子工程师学会1364-2001标准,即通常所说的Verilog-2001。Verilog-2001是对Verilog-95的一个重大改进版本,它具备一些新的实用功能,例如敏感列表、多维数组、生成语句块、命名端口连接等。目前,Verilog-2001是Verilog的最主流版本,被大多数商业电子设计自动化软件包支持。


2005年,Verilog再次进行了更新,即电气电子工程师学会1364-2005标准。该版本只是对上一版本的细微修正。这个版本还包括了一个相对独立的新部分,即Verilog-AMS。这个扩展使得传统的Verilog可以对集成的模拟和混合信号系统进行建模。容易与电气电子工程师学会1364-2005标准混淆的是加强硬件验证语言特性的SystemVerilog (电气电子工程师学会1800-2005标准),它是Verilog-2005的一个超集,它是硬件描述语言、硬件验证语言(针对验证的需求,特别加强了面向对象特性)的一个集成。


2009年,IEEE 1364-2005和IEEE 1800-2005两个部分合并为IEEE 1800-2009,成为了一个新的、统一的SystemVerilog硬件描述验证语言(hardware description and verification language, HDVL)。






关键词: Verilog     发展历史    

高工
2022-02-14 13:06:08     打赏
2楼

谢谢楼主,学习学习


专家
2022-02-14 13:08:23     打赏
3楼

谢谢楼主,学习学习


工程师
2022-03-17 23:33:53     打赏
4楼

学到经验了


共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]