这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » MCU » Re: vhdl怎样写一个占空比1:1的3分频 分频器?

共1条 1/1 1 跳转至

Re: vhdl怎样写一个占空比1:1的3分频 分频器?

菜鸟
2005-09-06 20:37:27     打赏

三分频1:1占空比的VHDL程序(有一个缺点,输入波形必须是1:1的占空比,输出才符
合要求)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity counter_down is
port(clk : in std_logic;
flag :out std_logic);
end counter_down;
architecture body_cout of counter_down is
signal cou1 ,cou2 : std_logic_vector(1 downto 0 );
signal flag1,flag2 : std_logic;
begin
process(clk)
begin
if(clk = '0' and clk'event) then
if(cou1 = "10") then
cou1 <= "00";
else
cou1 <= cou1 + '1';
end if;
end if;
end process;
process(clk)
begin
if(clk = '1' and clk'event) then
if(cou2 = "10") then
cou2 <= "00";
else
cou2 <= cou2 + '1';
end if;
end if;
end process;
process(cou1)
begin
if(cou1 = "01" ) then
flag1 <= '1';
else
flag1 <= '0';
end if;
end process;
process(cou2)
begin
if(cou2 = "01" ) then
flag2 <= '1';
else
flag2 <= '0';
end if;
end process;
flag <= flag1 or flag2;
end body_cout;




关键词: 怎样     一个     占空     3分频     频器    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]