这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 基础知识 » vhdl 请教关于vhdl进程的问题

共2条 1/1 1 跳转至

vhdl 请教关于vhdl进程的问题

院士
2006-09-17 18:14:16     打赏
vhdl 请教关于vhdl进程的问题



关键词: 请教     关于     进程     问题    

院士
2006-12-22 22:43:00     打赏
2楼
问     据资料介绍,VHDL中进程的触发是靠敏感信号表中的敏感信号的变化来实现的,进程被触发后执行一次,然后退出,直到下一个敏感信号跳变才再次执行。
    但我用quartus进行仿真的时候发现,即使不是敏感信号(即未在敏感信号表中列出),只要该信号在进程中被读取,它同样也可以触发进程。例如:
    process(reset)
    begin
        if(sgn_in='0') then
            sgn_out<='1';
        else
            sgn_out<='0';
        end if;
    end process;
    上面的进程中,只有一个敏感信号reset,但当我保持reset的值不变,仅将sgn_in的值由1变到0,此时输出信号sgn_out也会由0变到1,表明该进程被信号sgn_in触发执行了,而sgn_in并非敏感信号!
    请问这是为什么?是不是当某信号在进程中被读取时,它就被默认为敏感信号了?
    另外,我用CPLD是altera Max7000s系列的EPM7128STC100,仿真软件是quartusⅡ5.0,是不是芯片或仿真软件的问题???

1: 郁闷咋就没人来看呢??? 2: 应该是这样吧你的reset都没有用到啊。你不写sgn_in为敏感信号,但是你又在里面用了他做敏感信号,他肯定是识别它为敏感信号咯。你的运行结果是对的 3: 回楼上的也就是只要再进程中读取了它,就自动识别为敏感信号了?是这样吗?

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]