这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 基础知识 » mplab 初用PIC,请指教:mplab的仿真中断问题

共2条 1/1 1 跳转至

mplab 初用PIC,请指教:mplab的仿真中断问题

院士
2006-09-17 18:14:16     打赏
mplab 初用PIC,请指教:mplab的仿真中断问题



关键词: mplab     初用     指教     仿真     中断     问题    

院士
2006-12-22 22:43:00     打赏
2楼
PIC16F84的芯片
我设了toie=1,gie=1,也写了时钟中断函数,

用得是hitech的C,8.01编译器,

中断结构是void interrupt isr(void){...略代码}

但是编译后debug,软件仿的时候,发现isr中断函数未编译,

看REG的标志,也看不到toif变化,始终为0,

但时钟值在变化,可以越过0xff,但就是变不了toif,


当我把gie设为0时,reg照跑,toif可以改变,

isr同样没有被编译,是软件的故障,还是我问题,请指教,

附:运行17F**的一个例程,可以使用中断

谢谢各位大虾米





1: 在中断中设置一个断点看看定时器溢出就算没有开启中断 也应该置T0IF位的 2: 中断没编译肯定不对首先把库函数打开看看是否正确,然后在中断中打断点看能否进入.设置完定时器,在进入中断前打断点,看是否个个中断使能位都以赋值.我在仿真的时候也是这样,没有设置定时器中断,...他的数值也会变化,只要设置初值,.不知道是什么原因. 3: 问题找到,不是程序问题,是编译器毛病,重装了一下编译器就好啦,

没办法啊,俺用的是破解版呢??

呵呵,学习中,,,,

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]