这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » ISE问题

共8条 1/1 1 跳转至

ISE问题

菜鸟
2010-03-03 17:11:13     打赏

在一个工程综合后,进行Translate时遇到了下面的问题:

Reading NGO file "E:/examples1/myddc/ddc.ngc" ...
Loading design module "E:\examples1\myddc/bram_sram_ip.ngc"...
ERROR:NgdBuild:76 - File "E:\examples1\myddc/bram_sram_ip.ngc" cannot be merged
   into block "uut1" (TYPE="bram_sram_ip") because one or more pins on the
   block, including pin "wea", were not found in the file.  Please make sure
   that all pins on the instantiated component match pins in the lower-level
   design block (irrespective of case).  If there are bussed pins on this block,
   make sure that the upper-level and lower-level netlists use the same
   bus-naming convention.
Loading design module "E:\examples1\myddc/ddram_config_EMIFA.ngc"...
ERROR:NgdBuild:76 - File "E:\examples1\myddc/ddram_config_EMIFA.ngc" cannot be
   merged into block "uut5" (TYPE="ddram_config_EMIFA") because one or more pins
   on the block, including pin "wea", were not found in the file.  Please make
   sure that all pins on the instantiated component match pins in the
   lower-level design block (irrespective of case).  If there are bussed pins on
   this block, make sure that the upper-level and lower-level netlists use the
   same bus-naming convention.
Loading design module "E:\examples1\myddc/DDRAM4k_EMIFB.ngc"...
ERROR:NgdBuild:76 - File "E:\examples1\myddc/DDRAM4k_EMIFB.ngc" cannot be merged
   into block "test_EMIFB_Top_inst/uut4" (TYPE="DDRAM4k_EMIFB") because one or
   more pins on the block, including pin "wea", were not found in the file.
   Please make sure that all pins on the instantiated component match pins in
   the lower-level design block (irrespective of case).  If there are bussed
   pins on this block, make sure that the upper-level and lower-level netlists
   use the same bus-naming convention.
ERROR:NgdBuild:76 - File "E:\examples1\myddc/DDRAM4k_EMIFB.ngc" cannot be merged
   into block "test_EMIFB_Top_inst/uut3" (TYPE="DDRAM4k_EMIFB") because one or
   more pins on the block, including pin "wea", were not found in the file.
   Please make sure that all pins on the instantiated component match pins in
   the lower-level design block (irrespective of case).  If there are bussed
   pins on this block, make sure that the upper-level and lower-level netlists
   use the same bus-naming convention.
Loading design module "E:\examples1\myddc/DDRAM2_EMIFB.ngc"...
ERROR:NgdBuild:76 - File "E:\examples1\myddc/DDRAM2_EMIFB.ngc" cannot be merged
   into block "test_EMIFB_Top_inst/uut2" (TYPE="DDRAM2_EMIFB") because one or
   more pins on the block, including pin "wea", were not found in the file.
   Please make sure that all pins on the instantiated component match pins in
   the lower-level design block (irrespective of case).  If there are bussed
   pins on this block, make sure that the upper-level and lower-level netlists
   use the same bus-naming convention.
Loading design module "E:\examples1\myddc/filter_ip.ngc"...
ERROR:NgdBuild:76 - File "E:\examples1\myddc/filter_ip.ngc" cannot be merged
   into block "F_M_l_Fi_inst/fil_3Q" (TYPE="filter_ip") because one or more pins
   on the block, including pin "dout<38>", were not found in the file.  Please
   make sure that all pins on the instantiated component match pins in the
   lower-level design block (irrespective of case).  If there are bussed pins on
   this block, make sure that the upper-level and lower-level netlists use the
   same bus-naming convention.
ERROR:NgdBuild:76 - File "E:\examples1\myddc/filter_ip.ngc" cannot be merged
   into block "F_M_l_Fi_inst/fil_3I" (TYPE="filter_ip") because one or more pins
   on the block, including pin "dout<38>", were not found in the file.  Please
   make sure that all pins on the instantiated component match pins in the
   lower-level design block (irrespective of case).  If there are bussed pins on
   this block, make sure that the upper-level and lower-level netlists use the
   same bus-naming convention.
ERROR:NgdBuild:76 - File "E:\examples1\myddc/filter_ip.ngc" cannot be merged
   into block "F_M_l_Fi_inst/fil_1Q" (TYPE="filter_ip") because one or more pins
   on the block, including pin "dout<38>", were not found in the file.  Please
   make sure that all pins on the instantiated component match pins in the
   lower-level design block (irrespective of case).  If there are bussed pins on
   this block, make sure that the upper-level and lower-level netlists use the
   same bus-naming convention.
ERROR:NgdBuild:76 - File "E:\examples1\myddc/filter_ip.ngc" cannot be merged
   into block "F_M_l_Fi_inst/fil_1I" (TYPE="filter_ip") because one or more pins
   on the block, including pin "dout<38>", were not found in the file.  Please
   make sure that all pins on the instantiated component match pins in the
   lower-level design block (irrespective of case).  If there are bussed pins on
   this block, make sure that the upper-level and lower-level netlists use the
   same bus-naming convention.
Loading design module "E:\examples1\myddc/mul_ip.ngc"...
Loading design module "E:\examples1\myddc/fifo_ip.ngc"...
Gathering constraint information from source properties...
Done.

Resolving constraint associations...
Checking Constraint Associations...
Done...
Checking Partitions ...

Checking expanded design ...
ERROR:NgdBuild:604 - logical block 'uut1' with type 'bram_sram_ip' could not be
   resolved. A pin name misspelling can cause this, a missing edif or ngc file,
   or the misspelling of a type name. Symbol 'bram_sram_ip' is not supported in
   target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'uut5' with type 'ddram_config_EMIFA' could
   not be resolved. A pin name misspelling can cause this, a missing edif or ngc
   file, or the misspelling of a type name. Symbol 'ddram_config_EMIFA' is not
   supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'test_EMIFB_Top_inst/uut4' with type
   'DDRAM4k_EMIFB' could not be resolved. A pin name misspelling can cause this,
   a missing edif or ngc file, or the misspelling of a type name. Symbol
   'DDRAM4k_EMIFB' is not supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'test_EMIFB_Top_inst/uut3' with type
   'DDRAM4k_EMIFB' could not be resolved. A pin name misspelling can cause this,
   a missing edif or ngc file, or the misspelling of a type name. Symbol
   'DDRAM4k_EMIFB' is not supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'test_EMIFB_Top_inst/uut2' with type
   'DDRAM2_EMIFB' could not be resolved. A pin name misspelling can cause this,
   a missing edif or ngc file, or the misspelling of a type name. Symbol
   'DDRAM2_EMIFB' is not supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'F_M_l_Fi_inst/fil_3Q' with type 'filter_ip'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'filter_ip' is not
   supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'F_M_l_Fi_inst/fil_3I' with type 'filter_ip'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'filter_ip' is not
   supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'F_M_l_Fi_inst/fil_1Q' with type 'filter_ip'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'filter_ip' is not
   supported in target 'spartan3adsp'.
ERROR:NgdBuild:604 - logical block 'F_M_l_Fi_inst/fil_1I' with type 'filter_ip'
   could not be resolved. A pin name misspelling can cause this, a missing edif
   or ngc file, or the misspelling of a type name. Symbol 'filter_ip' is not
   supported in target 'spartan3adsp'.

Partition Implementation Status
-------------------------------

  No Partitions were found in this design.


哪位大哥也遇到过同样的问题,帮忙解决一下,不胜感激.......................




关键词: 问题     examples1     myddc     design    

菜鸟
2010-03-03 20:54:53     打赏
2楼

哪位帮帮忙啊..................谢谢了啊


菜鸟
2010-03-10 14:59:04     打赏
3楼

问题已经解决,谢谢了.............


菜鸟
2010-05-20 14:10:21     打赏
4楼
怎么解决的?也给分享下么。我也遇到ERROR:NgdBuild:76 - File .ngo' cannot be merged 这样的问题,你是怎么样解决的?玛法给我说一下。谢啦。ccshenlei@yahoo.com.cn谢啦。

院士
2010-05-20 14:34:17     打赏
5楼

能够共享是最好的交流方式~~~~


菜鸟
2010-10-19 14:13:25     打赏
6楼
我也遇到同样的问题,可以给我共享下吗?谢liyu.FLAT@STU.XJTU.EDU.CN

高工
2010-10-21 11:48:31     打赏
7楼

查看一下各层次之间的引脚名称,看看有没有错误


菜鸟
2013-06-06 20:58:38     打赏
8楼
我也是这个错误,求指导啊

共8条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]