这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 管脚分配文件TCL,有效减少管脚分配时所要时间

共64条 1/7 1 2 3 4 5 6 ›| 跳转至

管脚分配文件TCL,有效减少管脚分配时所要时间

助工
2012-09-29 18:36:47     打赏

接口板焊接完成:
核心板焊接完成:

基础技术:

1 .LED闪烁


2. 读取按键信息


3.按键消斗

4.拨码开关控制LED亮灭

5.静态数码管显示

6.数码管动态显示

7.蜂鸣器输出报警音

8,.硬件电子琴
9.硬件乐曲自动演奏
10.LCD1602显示
11.LCD12864显示

12.串口收发通信


13.PS2按键控制

14.TLC549采样(A/D实验)
15.TLC5620(D/A实验)
16.VGA显示

17.DS18B20温度传感器测量 (此实验做不了,已经测试了一下,在以前焊接板子时接反了管脚,有可能那样就给烧掉了)
18.DS1302时钟芯片接口控制
19.EEPROM(AT24LC02)读写实验
20.SPI模式SD卡读写实现
21.SDRAM读写实现


TCL文件,有效减少管脚分配时所花时间
测试过程中出现的问题:
1,发现JTAG焊反了,而且是接通了电源才发现的
在接口槽的背面刻一条槽街上USB-Blaster,能正常下载程序,没坏,谢天谢地.
2,上面做了一个静态数码管显示,但在做动态数码管显示时发现晶振没有起振,这该如何是好,晶振在排针的旁边,重新焊接都不方便呀.
解决办法:
QQ群关于“晶振没有起振”问题的总结

3,1602液晶显示的对比度不好,以至于显示的字符看不清
解决办法:
问题是处在R59的阻值太小,将R59这个1K的电阻卸下,换上一个10K的电阻,对比度就高了,显示的字符也就很清晰了。
4,拨码开关控制LED亮灭时出现108管脚不能用的情况
不彻底解决办法:
之所以说是不彻底解决办法是因为只解决了在分配管脚时由于108管脚是复用管脚而使得编译通不过的问题,简单的处理办法是将本该输出到108管脚上的信号输出到其他管脚上,编译就能通过了。但出现的问题是拨码开关的第二个管脚就没有起到作用。因为我还是菜鸟,还请各位指教啊。
此问题已有大侠解决
http://forum.eepw.com.cn/thread/221279/1

5在使用LCD1602作为显示时出现了一个问题,那就是当LCD1602和其他比较高频率的控制一起使用时,在程序运行时LCD的显示就看不清了,但当将FPGA复位时,却看到了LCD1602显示出了结果,并且单独LCD1602显示字符不会有问题。是不是其他高频的应用会影响到液晶的显示呢?求高人指点.




关键词: 管脚     分配     文件     有效     减少     所要     时间     显示    

助工
2012-09-29 18:50:26     打赏
2楼
前几天接口板焊接完成,今天核心板也焊接完了,下面的工作就是开始测试了.











院士
2012-09-29 19:44:37     打赏
3楼
相机像素欠佳

助工
2012-09-29 19:57:15     打赏
4楼
这个是最好的相机了,而且还不是我的相机.这个像素不错了

专家
2012-09-29 21:27:18     打赏
5楼
焊接的看起来还可以!

助工
2012-09-29 22:17:26     打赏
6楼
还好,就是在焊接时用的松香有点多,在板子上留下了很多,没有酒精,所以就不好擦除.

助工
2012-09-30 11:02:30     打赏
7楼

静态数码管显示,通过按键控制数码管的数值变化.
视频我贴在优酷了,下面是视频的链接
http://v.youku.com/v_show/id_XNDU2MzI1NjQw.html
时钟输入是接在key1上,下面是代码

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity scanseg is
port(clk : in std_logic;
 segctl : out std_logic_vector(7 downto 0);
 segout : out std_logic_vector(6 downto 0));
end scanseg;

architecture behave of scanseg is
 signal databuf : std_logic_vector(3 downto 0);
begin
segctl<="00000000";

process(clk)
begin
 if clk'event and clk = '1' then
 databuf<=databuf+"0001";
 end if;
end process;

process(databuf)
begin
 case databuf is
 when "0000" =>
  segout <= "0000001"; --显示0
 when "0001" =>
  segout <= "1111001"; --显示1
 when "0010" =>
  segout <= "0010010"; --显示2
 when "0011" =>
  segout <= "0000110"; --显示3
 when "0100" =>
  segout <= "1001100"; --显示4
 when "0101" =>
  segout <= "0100100"; --显示5
 when "0110" =>
  segout <= "0100000"; --显示6
 when "0111" =>
  segout <= "0001111"; --显示7
 when "1000" =>
  segout <= "0000000"; --显示8
 when "1001" =>
  segout <= "0000100"; --显示9
 when "1010" =>
  segout <= "0001000"; --显示A
 when "1011" =>
  segout <= "1100000"; --显示b
 when "1100" =>
  segout <= "0110001"; --显示C
 when "1101" =>
  segout <= "1000010"; --显示d
 when "1110" =>
  segout <= "0110000"; --显示E
 when "1111" =>
  segout <= "0111000"; --显示F
 when others =>
  segout <= "0000000";
 end case;
end process;
end behave;


高工
2012-10-02 09:02:43     打赏
8楼
你的晶振没有起振,那你静态数码管显示怎么跑起来的呢?

专家
2012-10-02 11:17:45     打赏
9楼
怎么知道没有起振,楼主再好好检查一下程序看看吧。

专家
2012-10-02 11:19:18     打赏
10楼
如果晶振没有虚焊,没有焊错方向,应该不会有问题的。

共64条 1/7 1 2 3 4 5 6 ›| 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]